Sr. Manufacturing Quality Engineer, Project Kuiper

Project Kuiper is an initiative to increase global broadband access through a constellation of 3,236 satellites in low Earth orbit (LEO). Its mission is to bring fast, affordable broadband to unserved and underserved communities around the world. Project Kuiper will help close the digital divide by delivering fast, affordable broadband to a wide range of customers, including consumers, businesses, government agencies, and other organizations operating in places without reliable connectivity.The Kuiper Payload Processing Quality team is driven to develop a Kuiper Quality System that is capable of scaling aerospace quality to volume and cost levels to meet end customer needs. This position will join the team at an exciting moment, with an opportunity to own quality system development and contribute to the design of requirements, processes, and systems used across the business. Key job responsibilities- Develop and lead Kuiper Quality management activities, including process definition and validation for assembly and test methods, part and process qualification, receiving inspection plans, in-process inspection capabilities, and process monitoring capabilities.- Lead Material Review Board discussions in Amazon's Finished Satellite Payload Processing facility, determining product dispositions for nonconforming material.- Lead the development and launch of multiple aspects of the Quality Management System across Project Kuiper.- Dive deep into root cause corrective action activities for the production processes immediately before Project Kuiper satellites are loaded in the launch vehicle.- Work directly with Receiving Inspection, Supplier Quality, and Engineering teams to develop and qualify inspection, monitoring, and verification methods for the Kuiper Production System.- Be a subject matter expert in launch vehicle payload processing quality assurance for the Kuiper Production System.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylumA day in the life- Perform daily defect resolution as the Quality member.- Investigates Production System issues to reduce defect rates and drive root cause corrective actions- Implements the Kuiper Quality System through process development and launch mechanisms.- Partners with cross-functional groups to develop and qualify internal production processes, including Quality Assurance processes- Conduct rigorous Continuous Improvement activities to prevent recurrence of non-conformances during assembly.- Perform audit activities to ensure compliance to QMS requirements.- Develop and modify process flow diagrams, PFMEAs, control plans, MSAs, and other APQP artifacts to support continued high quality production.- Working within an AS9100 (or similar) certified quality systemAbout the teamHere at Amazon, we embrace our differences. We are committed to furthering our culture of inclusion. Amazon has ten employee-led affinity groups, reaching 40,000 employees in over 190 chapters globally. We have innovative benefit offerings, and host annual and ongoing learning experiences. Amazon’s culture of inclusion is reinforced within our 16 Leadership Principles, which remind team members to seek diverse perspectives, learn and be curious, and earn trust.At Amazon, our mission is to be the most customer-centric company on Earth. To get there, we need exceptionally talented, bright and driven people. We're dedicated to supporting new team members. Our team has a broad mix of experience levels and Amazon tenures, and we’re building an environment that celebrates knowledge sharing and mentorship.BASIC QUALIFICATIONS- 5+ years of experience in large product assembly quality engineering, manufacturing, or operations throughout a production lifecycle development.- Willing to travel to French Guiana for 10-25% of the time.- Ability to comply with the Government of French Guiana which mandates Yellow Fever and other immunization requirements as recommended by the U.S. Centers for Disease Control and Prevention.- Able to obtain and maintain a US Passport- Ability to pass Kennedy Space Center background check ...

VP, Data Center Engineering

Amazon is seeking a Vice President to lead our global Data Center Engineering team. We own the design and operational availability for Amazon’s global data centers. Our space spans many different engineering and operational disciplines; this includes: (1) Delivering globally scaled project-based data center designs, inclusive of all mechanical, electrical, structural, and security systems. (2) Providing Field Engineering support at data centers, and “Edge” sites used for networking and content delivery. (3) Designing, delivering, and sustaining innovative infrastructure products which increase availability. (4) Deliver power solutions that improve our ability to deliver capacity at scale. (5) Retrofitting and improving the existing fleet to enable the highest levels of availability. (6) Managing key operational availability programs, including planned and un-planned maintenance activities; as well as the establishment of equipment specific reliability and quality performance standards, and proactive partnership with equipment providers to perform root cause analyses and drive performance to these standards.Amazon is a fast paced, fun, and exciting work environment. We continue to grow at very high growth rates and are looking for individuals that can support our speed to market, enjoy a challenge, and have a desire for professional growth and continuous learning. If you are driven to deliver the most advanced, most difficult, most cross-cutting, and/or most ambiguous challenges, we want YOU!Key job responsibilitiesAs the Vice President for AWS Data Center Engineering, you own: (1) The design for all AWS infrastructure capacity (i.e., generational data center designs), including the facility and products within, and across multiple engineering disciplines (including electrical, mechanical, civil/structural, security, controls, and more). These facilities deliver capacity, availability, and cost benefits. (2) Development of all supporting infrastructure products, which includes over 80+ Engineering programs.(3) Providing leadership for product development activities across In-Rack Power; Mechanical; Civil, Structural, and Architectural; Controls; Primary and Backup Power; Physical Security Devices; and Electrical.(4) Owning the relationships with capacity planning, business development, service teams, and others to enable Engineering teams’ deep assessment of customer requirements, and subsequent development of generational data center designs that meet customer needs. (5) Providing direction on where and how the infrastructure products and features will be integrated into our facility offerings for customers, which involves making key trade-offs with respect to innovation, cost, and customer trust.BASIC QUALIFICATIONS• 20+ years of experience delivering end-to-end data center infrastructure solutions. • Experience evaluating infrastructure products and features, and making key trade-offs to determine those that will be integrated into our facility offerings for customers.• Experience overseeing the new product development lifecycle for mechanical, electrical, and structural products from ideation to sustaining. • Demonstrated ability and willingness to think creatively to find simple, innovative solutions to reduce costs with no impact on quality and reliability.• Ability to read and interpret Engineering and construction-related drawings for all disciplines including part/assembly drawings with dimensions and tolerances.• Knowledge of building codes and regulations including Life Safety, BOCA, NFPA, NEC, and OSHA. ...

HBM PHY Expert, Annapurna Labs

AWS Utility Computing (UC) provides product innovations — from foundational services such as Amazon’s Simple Storage Service (S3) and Amazon Elastic Compute Cloud (EC2), to consistently released new product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Internet of Things (Iot), Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for customers who require specialized security solutions for their cloud services.Annapurna Labs (our organization within AWS UC) designs silicon and software that accelerates innovation. Customers choose us to create cloud solutions that solve challenges that were unimaginable a short time ago—even yesterday. Our custom chips, accelerators, and software stacks enable us to take on technical challenges that have never been seen before, and deliver results that help our customers change the world.We are seeking an HBM/DDRx Phy expert with role in the definition, design and validation of AWS next generation ML Chips, Cards and server integration. As a senior member of our platform development team, you will have the outstanding and meaningful opportunity to participate in the design and execution of all HBM, Memories and Serdes topics, with the goal of creating and customized platforms that fit within AWS datacenter’s world leading technology. The HBM lead Engineer will need to independently work with vendors, understand the settings, write/modify tests, debug and collect data in the fleet.Key job responsibilitiesAs a senior member of the team, you will join a group of hardworking engineers to design and implement innovative next generation machine learning chips and servers. In this position, you will make a real impact in a dynamic, technology focused team. Your work will impact the growing field of machine learning.As a senior member of the team, you will collaborate with architects, design teams, software engineers to deliver the next generation ML chip. In this position, you will have the opportunity to be responsible for IP integration, 2.5D design, bring up, Characterization and validation.A day in the lifeA day in the life of an ASIC Engineer on the AWS Organization team focuses on operational excellence, constructively identifying problems and proposing solutions. You help your team evolve by actively participating in the code review process, design discussions, team planning, and ticket/metric/COE reviews. ASIC Engineers will also mentor and help to develop others and interview for the team.About the teamAbout the teamOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.BASIC QUALIFICATIONS-BS or MS in EE, ECE or CS-7+ years of experience in Silicon development with -3+ years in SOC/IO/Subsystems-Good understanding of DDR/HBM at the PHY and controller level-Good knowledge of DDR/HBM training, timing parameters and/or controller features-Drive the IP Integration and design of silicon and 2.5D packaging-Support the physical design team, review clocking and timing constraints-Drive cross-functional triage effort on complex functional and performance issues-Take the leadership role in post-silicon bring-up of IO and HBM/DRRx stack -Define boot-up initiatization, reset flow, training sequence -Perform system-level debug and root-cause analysis through bring-up, characterization, validation and production phase-Experience Working with 3rd party IP and memory vendors ...

Sr. Process Development Engineer - Harnessing, Kuiper

Project Kuiper is an initiative to increase global broadband access through a constellation of 3,236 satellites in low Earth orbit (LEO). Its mission is to bring fast, affordable broadband to unserved and underserved communities around the world. Project Kuiper will help close the digital divide by delivering fast, affordable broadband to a wide range of customers, including consumers, businesses, government agencies, and other organizations operating in places without reliable connectivity.We are seeking a Sr. Process Development Engineer to lead the development of innovative manufacturing processes for wire harness assemblies. This role focuses on transforming high-complexity harness designs into scalable, high-volume production while maintaining the highest quality standards. You will be instrumental in driving the automation and optimization of production lines to support the rapid deployment of our satellite constellation.Key job responsibilities- Manufacturing Process Design: Develop and implement advanced harness manufacturing processes, incorporating automation to enable high-throughput production and ensure scalability.- Process Optimization: Collaborate with design and production teams to ensure harness designs are optimized for manufacturability and efficiency. Continuously improve production processes to meet cost, quality, and throughput targets.- Tooling & Equipment: Identify and develop tooling and equipment for harness assembly. Work with vendors and internal resources to implement these solutions on the production floor.- Automation & Innovation: Identify automation opportunities, evaluate return on investment, and develop automated solutions to improve production efficiency and reduce manual labor.- Prototyping & Scale-Up: Lead prototype builds, ensuring that incremental milestones for production development are achieved. Transition harness designs from prototype to high-volume production.- Cross-Functional Collaboration: Serve as a liaison between the engineering development and production teams, ensuring smooth communication and alignment on project goals.- Production Support: Provide ongoing support for production, troubleshooting issues as they arise, and driving continuous improvement efforts.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.BASIC QUALIFICATIONS- Bachelor’s degree in Engineering or a related STEM field.- 5+ years of experience in a manufacturing environment, preferably in a mid/high-volume, high-complexity setting.- Experience with CAD tools (e.g., NX, SolidWorks) and familiarity with manufacturing principles such as PFMEA, 5S, Lean 6-Sigma, Process Capability Analysis and time studies. ...

Sr. Network Development Engineer, Amazon Robotics

The Amazon Robotics Infrastructure Engineering team is looking for a Network Development Engineer (NDE) to join our team. We build and operate the network and services that enable Amazon Fulfillment Centers to deliver packages to Amazon retail customers. From two million square foot automated robotics fulfillment centers to small last-mile delivery stations in emerging markets, these networks are the backbone of Amazon Operations. Hundreds of thousands of associates rely upon these networks at thousands of locations, and are critical to the delivery of shipments to Amazon retail customers.Our mission is to design, deploy, and support networks that sets the global standard for performance, availability, security and cost, enabling Fulfillment and Logistic operations to deliver on time, every customer order. We are looking for a Network Development Engineer to create next-generation network products. The team is focused on automating networks from multiple diverse vendors, maximizing reliability while minimizing human touch in deployment and maintenance activities. We partner closely with IT support teams to ensure that simplicity in our product designs translate to supportability in the field. Information security rigor is at the core of everything we do. You will tackle challenging, novel situations every day and have the opportunity to work with multiple technical teams at Amazon in different locations. You should be comfortable with a high degree of ambiguity and relish the idea of solving problems that haven't been solved at scale before. It is expected that you will be technically fearless, while at the same time being balanced and pragmatic in your approach. Along the way, we guarantee that you will learn a lot and make a positive impact on millions of people.The right candidate will have demonstrated experience leading large projects and have an expert level technical background in current networking technologies. You must be able to thrive and succeed in an entrepreneurial environment, and not be hindered by ambiguity or competing priorities. This means you are not only able to develop and drive high-level strategic initiatives, but can also roll up your sleeves, dig in and get the job done. As a NDE, you will anticipate bottlenecks, influence external vendors and internal team roadmaps, provide escalation management, anticipate and make tradeoffs, and balance the business needs versus technical constraints.Key job responsibilitiesAs a NDE, you will be expected to:· Write code for our most demanding, cross-functional networking projects.· Functionally decompose complex problems into simple, straight-forward solutions.· Fully and completely understand system inter-dependencies and limitations.· Effectively research and benchmark Amazon technology against other competing systems in the industry.· Contribute intellectual property through patents.· Assist in the career development of others, actively mentoring individuals and the community on advanced technical issues and helping managers guide the career growth of their team members.A day in the lifeAmazon offers a full range of benefits that support you and eligible family members, including domestic partners and their children. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include:1. Medical, Dental, and Vision Coverage2. Maternity and Parental Leave Options3. Paid Time Off (PTO)4. 401(k) PlanBASIC QUALIFICATIONS- 5+ years of major internet routing protocols experience- 5+ years of working in a Linux/Unix environment experience- 5+ years of automation scripting using Python, Bash, Shell and/or Perl experience- Experience with AWS and AWS networking products such as Direct Connect and Transit Gateway ...

Sr. Supplier Quality Engineer (ASIC), Project Kuiper

Project Kuiper is an initiative to increase global broadband access through a constellation of 3,236 satellites in low Earth orbit (LEO). Its mission is to bring fast, affordable broadband to unserved and underserved communities around the world. Project Kuiper will help close the digital divide by delivering fast, affordable broadband to a wide range of customers, including consumers, businesses, government agencies, and other organizations operating in places without reliable connectivity.Key job responsibilitiesWe are looking for a Sr. Supplier Quality Engineer (ASIC) who will define requirements and deploy processes to deliver aerospace quality at unprecedented scale.The ideal candidate is a systems thinker with excellent analytical abilities, technical skills, effective written and verbal communication, and most importantly, a passion for developing foundry and outsourced assembly and test (OSAT) suppliers to produce high-quality, mission-critical hardware in volume production.Key job responsibilities- Own quality performance of OSAT and foundry suppliers including requirements definition, qualification, production process validation, failure analysis, metrics reporting, and continuous improvement- Define and scale Kuiper’s quality system to ensure early detection of process excursions improving product reliability and wafer yields in volume manufacturing- Test and inspection processes and foundry process controls- Create closed-loop mechanisms utilizing OSAT data to improve foundry processes resulting in quality, reliability and yield gains- Set and monitor OQC/IQC criteria across Kuiper’s ASIC supply chain- 2nd source qualifications- Own systems, tools, and business processes used to manage device traceability and key quality/test metrics- Represent Kuiper Supplier Quality in cross-functional initiatives- Travel as needed to accomplish program objectives- AS9100D Lead Auditor Trained/Certified- Direct experience deploying APQP/PPAP methodologies per AS9145 or equivalent standardsExport Control Requirement: Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.A day in the life- Collaborates with product development teams as owner of ASIC quality system requirements- Acts with internal customer obsession on behalf of Kuiper Production by improving reliability, reducing defect rates and driving failure analysis- Serves as the face of Quality for ASIC foundry, OSAT and component suppliers communicating expectations and resolving issues- Facilitates ASIC quality as subject matter expert in device traceability and statistical process control- Leads resolution of quality events utilizing structured failure analysis toolsAbout the teamThe Kuiper Supplier Quality team is driven to develop a vendor base capable of scaling aerospace quality to new volume and cost levels in order to meet end customer needs. This position will join the team at an exciting moment with the opportunity to own quality for key categories and contribute to the design of requirements and systems used across the business. We value data driven decision making, a comfort with ambiguity across multiple simultaneous projects, an ability to earn the trust of our key stakeholders, and delivering results without sacrificing integrity.BASIC QUALIFICATIONS- BS or MS degree in electrical engineering, materials science and engineering, applied physics or related fields.- 7+ years of experience in ASIC quality, manufacturing, testing or failure analysis.- Knowledge and experience in statistics fundamentals and data analysis tools. ...

2025 Product Development Engineer Intern, Annapurna Labs

Amazon Web Services (AWS) internships are full-time (40 hours/week) for 12 consecutive weeks during summer. By applying to this position, your application will be considered for all locations we hire for in the United States.In Annapurna Labs we are at the forefront of hardware co-design not just in Amazon Web Services (AWS) but across the industry. The work we do is cutting-edge and internet-scale while also being deeply important to our customers. We design and build every component of our hardware and software to come together into products that our customers use for accelerated computing: either Machine Learning acceleration, or FPGA acceleration. We get our hands dirty, from creating our own silicon, pushing the electrons in the right direction, ensuring our hardware is functional and healthy, and managing the full lifecycle of our systems at the huge scale and complexity of AWS. If you're interested in "building a complete product" from inception to delighted customers, Annapurna is a fantastic choice.AWS-Annapurna team develops the silicon used in our most advanced machine learning accelerator servers at cutting edge process nodes. These SOCs are used in massively scaled server clusters to provide best hardware platform for our customers to run training and inference workloads. Machine learning operations product development engineering team focuses on optimizing key manufacturing metrics like yield, test cost and test coverage for our products across ATE test and System test insertions. We are also responsible for thorough power/performance characterization of our newest silicon with a goal of optimizing system power/performance with adaptive scaling schemes and improving foundry process. Our final product is a server, not just the silicon, so you will find yourself stretching beyond traditional silicon product engineering boundaries working to resolve both silicon and system related manufacturing issues, providing ample opportunities to learn. Key job responsibilities* Work on improving our manufacturing data analysis and reporting systems to generate analysis which provide actionable information to improve key manufacturing metrics like yield, cost and coverage. * Write python scripts, generate dashboards to automate analysis and generate alerts for team to review. * Collaborate with ATE test, Foundry engineering and System validation teams to learn more about these test insertions and deep dive into manufacturing issues while supporting debug and root cause activities.* Develop a good understanding of various ATE and System test coverage and work on activities to collect and analyze performance and power metrics on the latest and greatest workloads. BASIC QUALIFICATIONS- Enrolled in a Bachelors’ degree program or higher in Electrical Engineering, Computer Engineering, or a related field with a graduation conferral date between December 2025 and September 2026- Project OR internship experience with data analysis and automation using scripting languages like Python.- Coursework or familiarity with basic semiconductor design process and manufacturing concepts. ...

Sr. Physical Design Engineer, Annapurna Labs

Amazon Web Services provides a highly reliable, scalable, low-cost infrastructure platform in the cloud that powers hundreds of thousands of businesses in 190 countries around the world. We have data center locations in the U.S., Europe, Singapore, and Japan, and customers across all industries.Custom SoCs (System on Chip) live at the heart of AWS Machine Learning servers. As a member of the Cloud-Scale Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers including AWS Inferentia, Trainium Systems (our custom designed machine learning inference and training datacenter servers). Our success depends on our world-class server infrastructure; we’re handling massive scale and rapid integration of emergent technologies. We’re looking for an ASIC Physical Design Engineer to help us trail-blaze new technologies and architectures, while ensuring high design quality and making the right trade-offs.Key job responsibilities- Work with RTL/logic designers to drive architectural feasibility studies, explore power-performance-area tradeoffs for physical design closure- Drive IO/Core block physical implementation through synthesis, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, ECO and sign-off- Develop physical design methodologies- Evaluate 3rd party IP and provide recommendations- Be a highly-valued member of our start-up like team through excellent collaboration and teamwork with other physical design engineers as well as with the RTL/Arch. teamsAbout the teamInclusive Team CultureHere at AWS, we embrace our differences. We are committed to furthering our culture of inclusion. We have ten employee-led affinity groups, reaching 40,000 employees in over 190 chapters globally. We have innovative benefit offerings, and host annual and ongoing learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences. Amazon’s culture of inclusion is reinforced within our 16 Leadership Principles, which remind team members to seek diverse perspectives, learn and be curious, and earn trust.BASIC QUALIFICATIONS- BS + 8yrs or MS + 6yrs in EE/CS- 6+ years in ASIC Physical Design from - RTL-to-GDSII in either 7nm, 14/16nm, 20nm, or 28nm- Block Design using EDA tools (examples: Cadence, Mentor Graphics, Synopsys, or Others) including synthesis, equivalency verification, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, and ECO- Deep understanding on sign-off activities (timing, ir/em, physical verification)- Scripting experience with Tcl, Perl or Python ...

Advanced Manufacturing Engineer, Robotics Technical Operations

Are you inspired by invention? Is problem solving through teamwork in your DNA? We apply advances in robotics and software to solve real-world problems that delight our customers' experiences in ways we can't even imagine yet.Amazon Robotics is located in the greater Boston area, the epicenter of robotics innovation. Our diverse team of engineers come from all over the world to design, test and build our dynamic fleet of robots and mechatronic systems. Having our offices, labs, test floors and factories all co-located means that we can design the hardware, write the code, test, build and watch it all come to life. This allows us to innovate quickly and on limitless ideas. Current advancements are underway in autonomous movement and mobility, artificial intelligence and machine learning, manipulation, simulation, robotic management software, predictive analytics, and much more.We are seeking a talented and motivated Manufacturing Engineer with a proven track record of implementing best in class processes within a complex manufacturing environment. This role will report to the Manager of Advanced Manufacturing, and is an integral member of the Technical Operations team. You will be responsible for applying design for X principles to transition a wide range of products from design to production. You will work cross-functionally to develop suppliers, manage product lifecycle changes, lead product quality improvement projects, and drive to technical root cause in supplier quality activities. The successful candidate will have experience in design or manufacturing and is capable of making wide-ranging business decisions on behalf of the organization.Key job responsibilities - Actively support and foster a culture of inclusion. - Provide design for X guidance/feedback on electromechanical designs. - Guide best practices on manufacturing tolerances using GD&T. - Launch tooling with accelerated schedules and assess project risk. - Review external production processes, identify risk and mitigate. - Qualify production processes based on dimensional data, control plans, PFMEAs. - Drive internal and external FMEA reviews. - Solve technical problems across a broad set of manufacturing commodities. - Manage supplier quality problems when necessary; drive containment and root cause activities. - Mentor team members and design engineers. - Propose design changes that will enhance product manufacturability and testability. - Evaluate and approve product electrical Engineering Changes Orders (ECOs). - Evaluate production, supplier and field failures for root cause analysis and resolution. - Up to 25% travel required (Domestic and International) without restrictions.A day in the lifeAdvanced Manufacturing Engineering works with many different internal and external organizations. We communicate frequently on technical topics with our suppliers during New Product Introductions (NPI). Internally we work with Hardware Engineering, Global Commodity Managers, Supplier Quality Engineers, various Technical Program Managers. We see ahead of curves and turns in the programs and prevent problems or risks from impacting our targets and goals.About the teamThe Advanced Manufacturing Engineering Team is made up of multiple talented individuals with various educational and practical experience backgrounds. This team has a strong desire to provide value to our stakeholders and evaluate the latest manufacturing technologies to constantly improve and innovate. Frequently (outside of COVID) we are traveling to manufacturers and evaluating prototypes as they are being made.BASIC QUALIFICATIONS- BSME/EE or equivalent required.- 6+ years of relevant work experience.- Excellent verbal and written communication skills.- Demonstrated expertise with new product development.- Familiarity with industry standard tools for problem solving and risk management.- Broad manufacturing commodity background (Mechanical and Electrical).- Deep commodity experience with one or more of the following:- DC Gearmotors, encoders- Plastics (Primarily injection molding)- Printed Circuit Board Assemblies, Sensors, Cameras- Cables ...

Optical Manufacturing Engineer, Project Kuiper

Summary: Project Kuiper is an innovative program aiming to provide low-latency, high-speed internet to underserved populations across the globe through the deployment of a constellation of over 3000 satellites to Low Earth Orbit, and we're looking for a Optical Manufacturing Engineer to join our Optical Terminal Amplifier Controller (OTAC) production development team.As the Optical Manufacturing Engineer for a Line Replaceable unit at Project Kuiper, you will be directly responsible for the production process development, introduction, improvement, & maintenance of a key module on our next generation spacecraft. You’ll be a key technical voice on a team of manufacturing engineers working on the product, solving challenging technical problems associated with delivering an ultra-high-performing optical amplifier module to space. You will serve as a key lynchpin connection design/development, factory operations, quality engineering, program management, and more as you drive the manufacturing processes, we use from initial production introduction to full rate production. You will leverage your expertise in optical systems design to partner with development on the design of future iterations of the OTAC Assembly and our associated production test systems & tooling. You’ll solve complex industrial challenges associated with balancing production velocity, exceptional quality, and cost competitive production concepts while ensuring smooth technical execution of current production operations. You’ll be a key animating force in the increase in production capacity through vision & execution of capital investment for production scaling & automation.Key job responsibilities- Conceptualize, design, develop, and improve production processes (assembly, integration, test processes) through collaboration/leadership of a team of manufacturing engineers.- Serve as primary technical interface to development team for Optical Head Assembly, participating as the lead technical voice from the factory in Design Reviews, key technical escalations, and new product development activities.- Support operations team directly by being a high solving power engineer, capable of bringing multiple diverging technical perspectives together in high pressure escalation moments such as quality containments.- Own the capacity increase roadmap for production including defining the relevant capital equipment required for rate increase and helping to lead the design, procurement, install, and qualification of new rate enabling equipment.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.A day in the life- 40% of your time will be spent on the shop floor, working with production teams to implement new processes, review & solve key technical blockers in production, performing production development testing, etc.- 35% of time will be spent performing independent development work such as deep diving on available data sets to identify and implement key production improvement actions, acting on quality escapes by developing and implementing new quality control measures, developing & executing to existing roadmaps for capacity increase, process simplification, etc.- 25% of time will be spent in meeting settings, collaborating with others on topics such as new design introductions, production process change management, production solution finding reviews, etc.About the teamThe Optical Inter-Satellite Link Production team is a multi-disciplinary organization responsible for all aspects of production of the key modules enabling satellite-to-satellite communication. The Manufacturing Engineering Team is the technical arm of this organization, with 20+ engineers from all disciplines collaborating on all aspects of technical development & execution in production. As a Lead Manufacturing Engineer, you will be the technical leader of a team of 4-6 manufacturing engineers.BASIC QUALIFICATIONS- 4+ years of working in mechanical engineering or equivalent experience- Experience with prototyping and implementation ...

Mechanical Engineer, Solar, Kuiper Mechanical

Project Kuiper is an initiative to increase global broadband access through a constellation of 3,236 satellites in low Earth orbit (LEO). Its mission is to bring fast, affordable broadband to unserved and underserved communities around the world. Project Kuiper will help close the digital divide by delivering fast, affordable broadband to a wide range of customers, including consumers, businesses, government agencies, and other organizations operating in places without reliable connectivity.This role is for a highly innovative and dynamic individual with the ability to design the highest power, lowest cost per watt, and lowest mass solar module flown in space! In this role, you will be responsible for the design definition, analysis, and test methodology to verify and demonstrate that the solar module will meet the end of life power requirements for the satellite constellation. You will apply research, your creativity, and experience to develop a solar module that meets the satellite reliability goals. You will be able to trade the design space ranging from power output, mass, cost, manufacturability, reliability, and degradation factors. This is a hands-on role and requires a self-starter with extensive skills in materials selection and solar cell packaging. You will be expected to defend your design decisions through technical design reviews and demonstrate the products produce-ability and performance through the full product development life cycle.Key job responsibilities- Design and improve upon the solar modules used on the Kuiper Satellites. This includes aspects related to test, reliability, and material selection to optimize degradation factors and manufacturability.- Identify and analyze requirements in support of trade studies and the solar modules interfaces to the solar array assembly.- Create, iterate, and own detailed CAD models of the solar module design options - Including drawings, process requirements, models, and the identification of critical characteristics.- Analyze (classical / FEA) and respond to all load conditions (static, dynamic, thermal, fatigue) for compliance.- Build development sample solar modules as path finders for further process and design improvements.- Develop test plans throughout development with variable isolation DOEs and statistical analysis for long term reliability assessments as needed.- Define qualification plans and identify acceptance testing methods at volume production.- Facilitate cost trades on multiple parallel configurations for cost/manufacturability vs design uncertainty.- Produce complete design packages – detailed drawings, analysis reports, BOMs, Assembly documentation, test reports. Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.BASIC QUALIFICATIONS- Bachelor's Degree in Mechanical, Materials Science, or equivalent.- 4 years minimum work experience as a mechanical engineer.- 2 years minimum work experience on Solar Modules, Solar Cells, or Solar Arrays.- Experience in developing functional specifications, design verification plans and functional test procedures- Proficiency with CAD (Computer Aided Design) and PLM (Product Lifecycle Management) systems.- Experience defining and writing requirements and test plans for complex systems. ...

Sr. RTL Design Engineer, Hardware Compute Group

Amazon Lab126 is an inventive research and development company that designs and engineers high-profile consumer electronics. Lab126 began in 2004 as a subsidiary of Amazon.com, Inc., originally creating the best-selling Kindle family of products. Since then, we have produced groundbreaking devices like Fire tablets, Fire TV and Amazon Echo. What will you help us create? The Role: As a Senior RTL Design Engineer, you will be part of an advanced architecture team that is exploring new hardware designs to improve our devices. In this role, you will be responsible for defining the micro-architecture and implementing the corresponding RTL for advanced functional blocks. You will participate in the design verification and bring-up of such blocks by writing relevant assertions, debugging code, test benches, test harnesses, and otherwise interacting with the extended team. You will participate in the lab bring-up of these blocks either in an FPGA or silicon by potentially writing test scripts, analyzing lab data, proposing experiments, etc. You will work closely with multi-disciplinary groups including Product Design, Audio Technology, Computer Vision, Hardware Engineering, and Software Engineering, to architect and implement complex functional block that enable development of world-class hardware devices. In this role, you will: - Design world class hardware and software- Communicate and work with team members across multiple disciplines- Develop detailed design specifications and documentation- Perform RTL coding and synthesis- Work with Partners/Supplier to optimize and customize their products- Run industry standard code quality tools and fix issues found by them- Participate in test plan and coverage reviews The ideal candidate should have experience with RTL development environments, fluency in modern hardware description languages. They should have developed complex IP blocks from scratch that have successfully been integrated in SOCs or other such silicon that have been productized in consumer devices. They should be familiar with modern SoC architectures, various interconnect topologies such as AMBA AXI, APB, AHB, and implementations. Experience with I/O interfaces such as SPI, I2C, I2S, PDM, and MIPI CSI/DSI/Slimbus/Soundwire is preferred. Experience with memory instantiation and memory compilers is also preferred. We are looking for a self-driven individual who can work with architects, HW and SW developers and can quickly resolve blocking issues. If you have the required skills and experience, we encourage you to apply for this exciting opportunity to join our growing hardware design team.BASIC QUALIFICATIONS- Master's degree in Electrical/Computer Engineering or related field - 5+ years of RTL development experience with a record of taping out production silicon- Experience with design development using Verilog/SystemVerilog- Experience in defining micro-architecture from architecture guideline and model analysis.- Experience in performance/power/area analysis and trade-offs- Proficient in design methodologies and EDA tools - Experience working with Synthesis, timing closure, and design constraints- Excellent problem-solving and debugging skills- Ability to work collaboratively in a team environment and communicate technical ideas effectively ...

ASIC Verification Engineer, RBKS ASIC Team

Join the team which delivers highly differentiated silicon into Blink and Ring battery powered devices. Our verification team works on state-of-the art SoCs in a vertically integrated team environment to deliver products our customers love. Our verification team is involved in early architectural and micro-architectural trade-offs to reduce time-to-revenue by shortening the DV cycle. Innovators will be delighted with our integrated verification/validation environment that is used to perform architectural modeling to post-silicon validation. The team works backwards from customer requirements to build super-low power, energy efficient designs that include the latest in AI, video processing, low power communications and CMOS fabrication technology. Key job responsibilities- Use and/or build bit accurate C models- Evaluate block and sub-systems for testability/verifiability - Write comprehensive block and system level testplans- Build assertions, traffic generators and scoreboards- Execute testplans and perform rigorous debugBASIC QUALIFICATIONS- Bachelor's degree in Electrical / Computer Engineering or related field- 6+ years experience in digital verification, preferably in image processor, SoC/Interfaces- 3+ years of experience in C/C++ and scripting (Python or TCL)- 6+ years experience in System Verilog or UVM ...

Sr. Mechanical Engineer , Annapurna Labs

AWS Utility Computing (UC) provides product innovations — from foundational services such as Amazon’s Simple Storage Service (S3) and Amazon Elastic Compute Cloud (EC2), to consistently released new product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Internet of Things (Iot), Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for customers who require specialized security solutions for their cloud services.Annapurna Labs (our organization within AWS UC) designs silicon and software that accelerates innovation. Customers choose us to create cloud solutions that solve challenges that were unimaginable a short time ago—even yesterday. Our custom chips, accelerators, and software stacks enable us to take on technical challenges that have never been seen before, and deliver results that help our customers change the world.Key job responsibilitiesAs a Mechanical/thermal Engineer, you design and build the systems that are the heart of the world's largest and most computing infrastructure. You develop from the lowest levels of Mechanical components to large system design like servers, racks and see those systems all the way through to high volume manufacturing. Your work has the potential to shape the machinery that goes into our data centers affecting millions of AWS users.About the teamOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.BASIC QUALIFICATIONS* BS or MS degree in Mechanical/Thermal Engineering* 10+ years industry experience in Mechanical and Thermal design of Systems* Experience in thermal and performance measurements and characterization on SoCs, Servers, and Systems* 3+ years of experience Server level Mechanical and thermal design* Experience with System Mechanical & Thermal design for air-cooled and liquid-cooled systems* Hands on design with enterprise hardware sheet metal design, sled level design and Rack level designs. * Collaborate effectively with teams spanning multiple sites and develop detailed specifications for product teams to use* Work with ODMs, heatsink vendors, and internal design teams on cross-boundary triaging, debugging, and resolving issues across organization. ...

Regulatory Compliance Engineer, Regulatory Compliance, Amazon Robotics

Are you inspired by invention? Is problem solving through teamwork in your DNA? Do you like the idea of seeing how your work impacts the bigger picture? Answer yes to any of these and you’ll fit right in here at Amazon Robotics. We are a smart team of doers that work passionately to apply pioneering advances in robotics and software to solve real-world challenges that will transform our customers’ experiences in ways we can’t even image yet. We invent new improvements every day. We are Amazon Robotics and we will give you the tools and partnership you need to invent with us in ways that are rewarding, fulfilling and fun.Amazon is seeking a Regulatory Compliance Engineer with experience in the EMI/EMC and Product Safety industry. This position is responsible for new and existing product support related to regulatory compliance. The ideal candidate will be a highly organized team player with excellent communication and computer skills. The candidate will have demonstrated an understanding of the regulatory compliance schemes and the process required to specify, test, qualify, document, and maintain certifications related to EMI/EMC and Product Safety. Experience with machine safety and wireless compliance for domestic and international markets is a plus. Key job responsibilitiesProduct Compliance & Safety• Lead safety and compliance initiatives for Amazon products• Develop and execute EMI/EMC test plans• Review test reports and validate regulatory documentation• Monitor product lifecycle management (PLM) and process engineering change orders (ECOs)Strategic Partnership• Partner with product teams to integrate regulatory requirements into design phases• Guide compliant design development through validation activities• Partner with internal and external safety labs for product certification• Manage relationships with certifying agencies for local, national, and international complianceTechnical Leadership• Direct testing activities with internal and third-party laboratories• Oversee hardware and software compliance validation• Establish compliance requirements for Amazon suppliers• Certify industrial equipment in partnership with Engineering and Operations teamsA day in the lifeAmazon offers a full range of benefits for you and eligible family members, including domestic partners and their children. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include: 1. Medical, Dental, and Vision Coverage 2. Maternity and Parental Leave Options 3. Paid Time Off (PTO) 4. 401(k) Plan If you are not sure that every qualification on the list above describes you exactly, we'd still love to hear from you! At Amazon, we value people with unique backgrounds, experiences, and skillsets. If you’re passionate about this role and want to make an impact on a global scale, please apply!About the teamThe Amazon Robotics (AR) Regulatory Compliance team ensures all AR products meet global safety and compliance standards through five specialized groups: Product Safety/EMC, Machine Safety, Materials Compliance, Compliance Lab, and Design for Compliance. We focus on safety, electromagnetic compatibility, wireless, and materials requirements across all deployment regions. Our team works with Engineering, Manufacturing, Deployment, and Program Management to achieve 100% compliance with global regulations while prioritizing user safety and environmental protection. We validate our work through targeted processes and a combination of internal and third-party testing laboratories.BASIC QUALIFICATIONS- Bachelor's degree in science or engineering- Minimum of 3-5 years of engineering experience, preferably in the automation or industrial equipment industry, with exposure to safety engineering in product development- Knowledge of EMC regulations pertaining to FCC, Industry Canada, CE, UKCA, EN 61000-6-x, etc.- Experience supporting EMI/EMC, product safety and wireless certification programs by means of identifying equipment needs, documentation needs, support equipment needs, staging and support of equipment to be tested, debug capabilities, etc.- Familiarity with the engineering design process and requirements generation- Experience certifying new products- Experience interacting with customers, regulatory agencies, test labs, etc.- Experience in supporting engineering change control processes- Experience in evaluating compliance of 3rd party products.- Strong presentation, written and oral communication skills.- Able to travel and work offsite at 3rd party labs ...

Production Control Specialist, Amazon Robotics Manufacturing

Are you inspired by robotics and automation technology? Do you thrive on solving complex supply chain and production challenges through collaborative teamwork? If so, we have an exciting opportunity for you to join the Robotics Manufacturing & Technical Operations (RMTO) team at Amazon Robotics.As a Production Control Specialist, you will play a crucial role in developing and managing a comprehensive production control process to support Amazon Robotics' high-volume manufacturing operations and emerging products. Leveraging your deep expertise in material management, you will be responsible for orchestrating the end-to-end flow of parts and components - from supplier receipt to line-side delivery - to ensure on-time production and operational efficiency.This position requires strong leadership, excellent organizational skills, and a keen understanding of material planning and control systems. You will work closely with cross-functional teams, including engineering, operations, procurement, and quality, to proactively identify and resolve issues, communicate status updates, and collaborate on continuous improvement initiatives.Key job responsibilities* Engineers all end-to-end Material Flows from supplier receipt to lineside delivery in order to efficiently meet production requirements * Developing a Plan for Every Part (PFEP) to document part numbers, quantities, suppliers, storage locations, part attributes, and replenishment plan* Establish visual management systems and standard operating procedures to align production floor processes with the PFEP* Update production spreadsheets to clearly communicate the status of each job/work order and its can-build/ factory clear-to-build status* Communicate internally any known or potential issues that could affect production or shipments, liaise with cross-functional teams to assess progress and discuss needed plan of action and meet schedule commitments.* Coordinate the schedule, plan, and initial staging of material/kits to all vital areas of production and test. * Develop and standardize area supermarket replenishment plans to prevent overstocking and shortages* Monitor the flow/velocity of jobs through the production line and partner with Production Planning to ensure work completes on time to schedule.* Coordinate with shipping and receiving team to ensure materials is ready for schedule production* Acts as primary contact for matters regarding schedule execution status and can build status based on available inventoryBASIC QUALIFICATIONS- 3+ years of supply chain, inventory management or project management experience- 3+ years of with Excel experience- Bachelor's degree, or 3+ years of professional or military experience- Knowledge of material resource planning (MRP) and manufacturing execution systems (MES) ...

Technical Infrastructure Program Manager, Deployment Readiness – WW Technology Deployment, Amazon Robotics

Amazon is building the next generation software, hardware, and processes that will run the global network of fulfillment centers that move many millions of units of inventory, and ensure that customers get what they want when we promised. We do this by building automated solutions to handle things that technology does well, while relying on people to handle things that humans do well. We are constantly innovating in the ways we are automating and accelerating the delivery of products and packages which includes building custom hardware and applying robotics, autonomy, supply chain optimization, machine learning, manipulation, image processing, and real-time data processing using distributed systems.The WW Technology Deployment org is seeking a talented and solutions-oriented Technical Infrastructure Program Manager to contribute to delivering transformational innovation to the business. You will be part of a team with the mission to ensure that robotics technologies maturing through the development pipeline are vetted and tested in the field, during Alpha and Beta deployments, to identify and resolve issues upstream before deployments at scale. This role is a key part of a technical team, with the following responsibilities.Key job responsibilities• Lead strategic Deployment Readiness projects with significant technical depth and breadth;• The TIPM acts as the leader for new Robotics solutions during pre-GA phases, planning, scheduling and executing Alpha and Beta deployments;• End-to-end ownership of large-scale Robotics deployment projects and delivery including resources, CAPEX, schedule and vendor management;• Provide iterative feedback on Work Instructions and execution plans to achieve consistent outputs;• Complex stakeholder management: work very closely with the Real Estate, IT, Launch and Operations teams from project start to end;• Manage large third-party installation teams during the execution of Alpha and Beta deployments;• Lead technical design reviews and propose design solutions for material handling and storage solutions that meet both business needs and leverage global design standardization;• Ensure compliance with safety and quality standards;• Drive alignment of New Product Introduction strategy with internal stakeholders and external partners;• Communicate project status, drive and elevate decision making and help requests;• Travel requirement for this role is up to 75%BASIC QUALIFICATIONS- 3+ years of technical infrastructure management experience- Knowledge of best practices and emerging technologies, related to infrastructure (i.e. network, data center, hardware, software)- Experience managing programs across cross functional teams, building processes and coordinating release schedules- Bachelor's degree in engineering, computer science or equivalent- Experience leading overall end-to-end project delivery including resources, CAPEX, schedule and vendor management ...

Sr. Robotics Systems Engineer, Vulcan Stow Hardware and Systems

The Amazon Robotics team is seeking broad, curious engineers to join our robotic manipulation team. We holistically design, build, and deliver end-to-end robotic systems. Our team manages core infrastructure and tools that serve as the backbone of our robotic applications, enabling roboticists, machine learning scientists, software engineers, and hardware engineers to deploy systems in the field.Key job responsibilities- Define, expand, and explore the design space for complex, multidisciplinary robotics and automation problems.- Identify and evaluate cross-functional tradeoffs between design alternatives, including impact on performance, schedule, cost, risk, complexity, and scale- Break down and frame problems using concept of operations, use cases, structural/functional decompositions, and other system representations to clarify needs and align technical teams. - Identify design choices to ensure our products are safe, reliable, and usable, and can be deployed and fostered at Amazon scale.- Perform technical risk assessments, devise mitigation plans, and create system test strategies.- Define and continually refine metrics for measuring the performance and success / failure rates of Robotic operations.- Build quantitative models and analyses (Excel, MATLAB, Python, internal tools) to conduct trade studies, refine requirements, and interpret key system drivers across the integrated systems of systems- Develop and communicate system integration and evaluation, strategies and plans for program learning, technical risk reduction, verification, and validation- Drive and prioritize execution of system test campaigns that span lab and field testing environments along with subsystem development and test teams (test readiness reviews, test execution, post-test study and reporting)A day in the lifeA day in the lifeAmazon offers a full range of benefits for you and eligible family members, including domestic partners. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include: 1. Medical, Dental, and Vision Coverage 2. Maternity and Parental Leave Options 3. Paid Time Off (PTO) 4. 401(k) Plan If you are not sure that every qualification on the list above describes you exactly, we'd still love to hear from you! At Amazon, we value people with unique backgrounds, experiences, and skillsets. If you’re passionate about this role and want to make an impact on a global scale, please apply!BASIC QUALIFICATIONS- BS or equivalent in Electrical Engineering, Aerospace Engineering, Mechanical Engineering, Computer Science, Computer Engineering, Systems Engineering, Operations Research, Mathematics, Physics, or another STEM discipline.- 6 years or more of experience with eliciting and clarifying business and end user needs, and connecting those needs to system concepts, requirements, and designs. - Experience leading technical collaborations and creating alignment across diverse groups (e.g. hardware engineering, software engineering, program management). - Experience identifying and analyzing dependencies, tradeoffs, and sensitivities across multi-disciplinary systems. ...

Sr. Physical Design Methodology Engineer, Annapurna Labs

AWS Utility Computing (UC) provides product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for their cloud services. Additionally, this role may involve exposure to and experience with Amazon's growing suite of generative AI services and other cutting-edge cloud computing offerings across the AWS portfolio.Annapurna Labs (our organization within AWS UC) designs silicon and software that accelerates innovation. Customers choose us to create cloud solutions that solve challenges that were unimaginable a short time ago—even yesterday. Our custom chips, accelerators, and software stacks enable us to take on technical challenges that have never been seen before, and deliver results that help our customers change the world.Amazon Web Services provides a highly reliable, scalable, low-cost infrastructure platform in the cloud that powers hundreds of thousands of businesses in 190 countries around the world. We have data center locations in the U.S., Europe, Singapore, and Japan, and customers across all industries.Custom SoCs (System on Chip) live at the heart of AWS Machine Learning servers. As a member of the Cloud-Scale Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers including AWS Inferentia, Trainium Systems (our custom designed machine learning inference and training datacenter servers). Our success depends on our world-class server infrastructure; we’re handling massive scale and rapid integration of emergent technologies. We’re looking for an ASIC Physical Design Methodology Engineer to help us trail-blaze new technologies and architectures, while ensuring high design quality and making the right trade-offs.Key job responsibilitiesDefine, develop and deploy innovative physical design methodologies (RTL2GDS) and CAD flows for ML Accelerator chips in advanced nodesDrive improvement in RTL2GDS flows/methodology for PPA and TAT improvementsCreate Dashboard and Central reports for project tracking and visualizing QoR/statsFine tune cloud infrastructure to improve turnaround times for physical design work.Interface directly with RTL, Physical Design, Package Design, DFT and other teams to improve methodologies and efficiencies and drive efforts to resolution.Work with EDA tool vendors to evaluate new methods, solve bugs, improve usability, etc.Drive setting up RTL2GDS flows for new nodes, run regressions, quality assurance checksAbout the teamAbout the teamOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.BASIC QUALIFICATIONS- BS + 10yrs or MS + 7yrs in EE/CS- 5+ years of experience in developing physical design methodology or CAD flows in synthesis, PNR, and sign-off areas for advanced technology nodes. - Proficient in programming/scripting languages (Perl, Python, C++)- Solid understanding of ASIC physical design, and methodologies including synthesis, place and route, STA, IR, formal and physical verification. - Demonstrated level of expertise in PD tools such as Innovus, ICC2, Fusion Compiler, STA, and Sign-Off. - Proven track record of delivering metric driven PPA flow development and support. ...

Sr. Mechanical Engineer

Working at Amazon RoboticsAre you inspired by invention? Is problem solving through collaborative teamwork in your DNA? Do you like the idea of seeing how your work impacts the bigger picture? Answer yes to any of these and you’ll fit right in here at Amazon Robotics. We are a smart, enthusiastic team of doers that work passionately to apply cutting edge advances in robotics and software to solve real-world challenges that will transform our customers’ experiences in ways we can’t even image yet. We invent new improvements every day. We are Amazon Robotics and we will give you the tools and support you need to invent with us in ways that are rewarding, fulfilling and fun. Job Overview – As an Amazon Robotics mechanical engineer, you will develop innovative hardware designs for our emerging robotic systems and improve existing hardware to optimize performance and enhance customer experience. The ideal candidate has experience designing and analyzing mechanical systems, enjoys analytical problem solving, possesses practical knowledge of high volume manufacturing methods and is a role model for engineering best practices. This position reports directly to the Mechanical Engineering Manager. Key job responsibilitiesThe Mechanical Engineer will be responsible for: - Working with an interdisciplinary team to execute product designs from concept to production including specification, design, prototyping, validation and testing - Working with the Operations, Manufacturing, Supply Chain and Quality organizations as well as vendors to ensure a smooth product launch and sustaining - Writing functional specifications, design verification plans, and functional test procedures - Exhibit role model behaviors of thorough engineering analysis and cradle to grave ownership - Design and adaptation of holistic hardware solutions for ambiguous problems with fluid requirementsA day in the lifeAmazon offers a full range of benefits that support you and eligible family members, including domestic partners and their children. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include:1. Medical, Dental, and Vision Coverage2. Maternity and Parental Leave Options3. Paid Time Off (PTO)4. 401(k) PlanIf you are not sure that every qualification on the list above describes you exactly, we'd still love to hear from you! At Amazon, we value people with unique backgrounds, experiences, and skillsets. If you’re passionate about this role and want to make an impact on a global scale, please apply!BASIC QUALIFICATIONS- BS in Mechanical Engineering- SolidWorks or similar CAD experience, understanding of best practices for part design, advanced assemblies and complex configuration management- Hands on experience crafting proof-of-concept models and prototypes- Thorough understanding of principals, theories and concepts in mechanical engineering and ability to apply them to product design- Ability to effectively communicate concepts and write technical documents- Experience with full lifecycle and proficiency at completing tasks to meet milestones- Experience evaluating design, performance and logistical tradeoffs for hardware systems ...