VP, Data Center Engineering

Amazon is seeking a Vice President to lead our global Data Center Engineering team. We own the design and operational availability for Amazon’s global data centers. Our space spans many different engineering and operational disciplines; this includes: (1) Delivering globally scaled project-based data center designs, inclusive of all mechanical, electrical, structural, and security systems. (2) Providing Field Engineering support at data centers, and “Edge” sites used for networking and content delivery. (3) Designing, delivering, and sustaining innovative infrastructure products which increase availability. (4) Deliver power solutions that improve our ability to deliver capacity at scale. (5) Retrofitting and improving the existing fleet to enable the highest levels of availability. (6) Managing key operational availability programs, including planned and un-planned maintenance activities; as well as the establishment of equipment specific reliability and quality performance standards, and proactive partnership with equipment providers to perform root cause analyses and drive performance to these standards.Amazon is a fast paced, fun, and exciting work environment. We continue to grow at very high growth rates and are looking for individuals that can support our speed to market, enjoy a challenge, and have a desire for professional growth and continuous learning. If you are driven to deliver the most advanced, most difficult, most cross-cutting, and/or most ambiguous challenges, we want YOU!Key job responsibilitiesAs the Vice President for AWS Data Center Engineering, you own: (1) The design for all AWS infrastructure capacity (i.e., generational data center designs), including the facility and products within, and across multiple engineering disciplines (including electrical, mechanical, civil/structural, security, controls, and more). These facilities deliver capacity, availability, and cost benefits. (2) Development of all supporting infrastructure products, which includes over 80+ Engineering programs.(3) Providing leadership for product development activities across In-Rack Power; Mechanical; Civil, Structural, and Architectural; Controls; Primary and Backup Power; Physical Security Devices; and Electrical.(4) Owning the relationships with capacity planning, business development, service teams, and others to enable Engineering teams’ deep assessment of customer requirements, and subsequent development of generational data center designs that meet customer needs. (5) Providing direction on where and how the infrastructure products and features will be integrated into our facility offerings for customers, which involves making key trade-offs with respect to innovation, cost, and customer trust.BASIC QUALIFICATIONS• 20+ years of experience delivering end-to-end data center infrastructure solutions. • Experience evaluating infrastructure products and features, and making key trade-offs to determine those that will be integrated into our facility offerings for customers.• Experience overseeing the new product development lifecycle for mechanical, electrical, and structural products from ideation to sustaining. • Demonstrated ability and willingness to think creatively to find simple, innovative solutions to reduce costs with no impact on quality and reliability.• Ability to read and interpret Engineering and construction-related drawings for all disciplines including part/assembly drawings with dimensions and tolerances.• Knowledge of building codes and regulations including Life Safety, BOCA, NFPA, NEC, and OSHA. ...

Sr. Mechanical Engineer, Hardware Development

Working at Amazon RoboticsAre you inspired by invention? Is problem solving through collaborative teamwork in your DNA? Do you like the idea of seeing how your work impacts the bigger picture? Answer yes to any of these and you’ll fit right in here at Amazon Robotics. We are a smart, enthusiastic team of doers that work passionately to apply cutting edge advances in robotics and software to solve real-world challenges that will transform our customers’ experiences in ways we can’t even image yet. We invent new improvements every day. We are Amazon Robotics and we will give you the tools and support you need to invent with us in ways that are rewarding, fulfilling and fun. Job Overview – As an Amazon Robotics mechanical engineer, you will develop innovative hardware designs for our emerging robotic systems and improve existing hardware to optimize performance and enhance customer experience. The ideal candidate has experience designing and analyzing mechanical systems, enjoys analytical problem solving, possesses practical knowledge of high volume manufacturing methods and is a role model for engineering best practices. This position reports directly to the Mechanical Engineering Manager. Key job responsibilitiesThe Mechanical Engineer will be responsible for: - Working with an interdisciplinary team to execute product designs from concept to production including specification, design, prototyping, validation and testing - Working with the Operations, Manufacturing, Supply Chain and Quality organizations as well as vendors to ensure a smooth product launch and sustaining - Writing functional specifications, design verification plans, and functional test procedures - Exhibit role model behaviors of thorough engineering analysis and cradle to grave ownership - Design and adaptation of holistic hardware solutions for ambiguous problems with fluid requirementsA day in the lifeAmazon offers a full range of benefits that support you and eligible family members, including domestic partners and their children. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include:1. Medical, Dental, and Vision Coverage2. Maternity and Parental Leave Options3. Paid Time Off (PTO)4. 401(k) PlanIf you are not sure that every qualification on the list above describes you exactly, we'd still love to hear from you! At Amazon, we value people with unique backgrounds, experiences, and skillsets. If you’re passionate about this role and want to make an impact on a global scale, please apply!BASIC QUALIFICATIONS- BS in Mechanical Engineering- SolidWorks or similar CAD experience, understanding of best practices for part design, advanced assemblies and complex configuration management- Hands on experience crafting proof-of-concept models and prototypes- Thorough understanding of principals, theories and concepts in mechanical engineering and ability to apply them to product design- Ability to effectively communicate concepts and write technical documents- Experience with full lifecycle and proficiency at completing tasks to meet milestones- Experience evaluating design, performance and logistical tradeoffs for hardware systems ...

Sr Signal Integrity Engineer, Kuiper Government Solutions (KGS)

Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband connectivity to unserved and underserved communities around the world.The mission of Project Kuiper’s Government Solutions (KGS) team is to leverage existing Kuiper technology and provide high speed, low latency, and secure satellite broadband services to the United States and our allied government customers.The Sr Signal Integrity Engineer will play a pivotal role in the team delivering innovative and fast-paced analysis and design solutions, focusing on satellite and ground system design and analysis as well as on the design of the various communication and control needs (compute, storage, data transfer, etc.) of the electronics sub-systems. They will be expected to lead a variety of tasks, from schematic design and component selection, circuit analysis and simulation, to layout review and board bring-up and test. They will work across teams and support fellow engineers with design reviews and the preparation of joint reports and proposals. The candidate will have a sharp focus on the specific needs of the customer and be able to conduct trades and analyses to show that proposed solutions will meet the customer’s goals.The successful candidate will have demonstrated experience working on complex programs with a diverse set of talented individuals, as well as with partner organizations (including government agencies). The candidate will exhibit the potential to lead small sub-teams of electrical engineers, and be able to prepare easy-to-read and technically compelling written reports.Key job responsibilities- Responsible for electrical circuit design (including digital and analog power) for hosting sensitive RF and communications payloads including processing, memory, and communication buses. - Strong technical background and work experience designing electronic circuits including Analog Power, Digital Design, Mixed-Signal ADC/DAC, Processors, FPGA, MCU, SoC, Memory, Transceivers, Clock generation and distribution.- Analyze designed circuits using software tools for performance and characterization including Signal Integrity (SI) and Power Integrity (PI) on fabricated Printed Circuit Board (PCB) designs.- Identify top level customer requirements and ensure high quality and innovative designs are pursued.- Perform electrical design and layout trade studies for electrical and power sub-systems contributing to overall system architecture including inputs and requirements from cross discipline teams.- Generate ADS circuit from board and system level to analyze high speed digital, RF, and analog circuits.- Work on the SI/PI model extraction and validation of PCB using 3D/2D EM simulation tools (Cadence PowerSI and Ansys HFSS).- Present SI/PI analysis result to the team and provide layout guidelines for SI/PI. - Execute signal measurement for validation and correlation of simulations.- Work with Electrical HW circuit design team that develops communication systems.- Deliver well-written documentation, reports, and presentations for technical design reviews and as part of proposal efforts.- Design and support test campaigns, both development and qualification/acceptance, for space hardware.Security Clearance: This position requires that the candidate selected be a US Citizen and candidates must be able to obtain and maintain a US Government security clearance of TS/SCI.BASIC QUALIFICATIONS- Bachelor’s Degree in Electrical or Computer Engineering or related discipline, or equivalent experience- 7+ years experience in hardware development across the full product life cycle (concept to production)- 7+ years electrical engineering work experience in Space, Aerospace/Defense, Automotive, and/or Communications fields or combination thereof- 7+ years of experience with schematic and layout ECAD tools and with PCB design and debugging ...

I&T Engineer, Kuiper Ground Systems

Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband connectivity to unserved and underserved communities around the world.Have you ever wanted to become involved in the global development and rollout of satellite earth stations for a high-performance satellite constellation? We are looking for a hands-on and experienced Satellite Communications ground integration and test engineer to join Project Kuiper's ground systems team. You be a team contributor in the rollout, integration, test, and troubleshooting of the Kuiper Ground Gateway systems. The role will support the development, scale, and execution of our highly efficient Ground Gateway build strategy. Kuiper ground gateway are deployed to enable our low-latency, high-speed broadband satellite communication constellation allowing Amazon to serve un-served and under-served communities around the world.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylumKey job responsibilitiesIn this role you will:- Become a key member of the Ground Systems Integration and test team working directly with our site build teams.- Support development, integration, and ongoing build operations of ground gateway antenna and terminal test systems, both fielded and in build.- Work closely with implementation teams to troubleshoot issues, perform data driven analysis of results, and make recommendations for improvements and corrective actions.- Support the rest of the Ground Systems team along with our suppliers in the identification and execution of design corrective actions improve site build defects and improve site built automation efforts- Aid in the development of optimized field deployment integration and operational readiness test programs - Aid in the development of automated tests that further improve in site build defect discovery and correction enabling deployment of Kuiper’s innovative Ground Gateway System- Communicate regularly on status, risk, and change control.- Help teams reduce exposure to classic failure modes.A day in the lifeAs a Integration and Test Engineer, you will support execution of Kuiper’s ground integration and site build test activities. You will become a subject expert on the Kuiper ground system design and will be called upon frequently as such to support critical troubleshooting activities. You will help develop and will work extensively with the Gateway terminal test systems to validate supplier product updates relative to site deployment and troubleshoot system issues.About the teamThe Ground Systems team is responsible for the architecture, design, build, and installation of Kuiper’s Ground and TT&C Gateways. Our Ground Gateways enable high data rate, low latency communications between Kuiper points of presence and our Low Earth Orbit Satellite constellation. The team works closely with Ground Infrastructure Services, Networks, Regulatory, Payload Test, and RF Systems teams to ensure Kuiper provides a seamless customer experience.BASIC QUALIFICATIONS- 4+ years in integration and test and/or product verification and validation roles- 1+ years using RF and Network test equipment associated with SATCOM communications- 1+ years in development and deployment of automated tests- Bachelor's degree in Engineering or equivalent experience-education ...

Sr. Materials Compliance Engineer, Amazon Robotics

Are you inspired by invention? Is problem solving through teamwork in your DNA? Do you like the idea of seeing how your work impacts the bigger picture? Answer yes to any of these you’ll fit right in here at Amazon Robotics. We are a smart team of doers that work passionately to apply cutting edge advances in robotics and software to solve real-world challenges that will transform our customers’ experiences. We invent new improvements every day. We will give you the tools and support you need to invent with us in ways that are rewarding, fulfilling and fun. As part of the Materials Compliance Team, you will play a critical role in ensuring that our products comply with relevant laws, regulations, and standards related to materials composition. You will work collaboratively with cross-functional teams to assess components and materials used in product designs, complete risk assessments, conduct compliance testing, and drive continuous improvement in compliance processes. Key job responsibilities• Ensure that all materials used in Amazon products meet applicable legal/regulatory requirements, including RoHS, REACH, EU POP, etc.• Collaborate closely with product design, engineering, procurement, and legal teams to assess materials, address compliance challenges, and support product development initiatives.• Conduct risk assessments to identify potential compliance risks and develop mitigation strategies to address them.• Maintain accurate records of materials compliance documentation, testing results, and regulatory certifications. Prepare reports and presentations for internal stakeholders and regulatory agencies as needed.• Identify opportunities to enhance materials compliance processes, tools, and standards. Drive initiatives to improve efficiency, accuracy, and effectiveness in materials compliance activities.• Review and analyze changing Bills of Materials (BOMs) prior to release. Provide feedback and assistance to Engineers with change orders (e.g. BOM structure, required attachments, drawings, technical design specifications, Certificate of Compliance), and ensure that materials are properly called out on drawings.• Manage and evaluate large amounts of data in the form of Certificates of Compliance, material declarations, and laboratory testing reports; use this data to evaluate compliance with relevant regulations.• Develop and execute compliance testing plans to verify materials compliance and identify any non-compliance issues.• Track status of multiple requests for changes and updates from Engineers; follow up to keep approvals process flowing. A day in the lifeAmazon offers a full range of benefits that support you and eligible family members, including domestic partners and their children. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include: 1. Medical, Dental, and Vision Coverage 2. Maternity and Parental Leave Options 3. Paid Time Off (PTO) 4. 401(k) Plan If you are not sure that every qualification on the list above describes you exactly, we'd still love to hear from you! At Amazon, we value people with unique backgrounds, experiences, and skillsets. If you’re passionate about this role and want to make an impact on a global scale, please apply!BASIC QUALIFICATIONS- Bachelor's degree in Engineering, Chemistry, Environmental Science or related field- 8+ years of experience in materials compliance- Experience implementing materials compliance programs in product development environments- Deep knowledge of global materials compliance laws and regulations (RoHS, REACH, EU POP, TSCA, etc.)- Experience with PLM systems (Agile, TeamCenter, or similar) ...

System Test Engineer, Prime Air

Here at Amazon, we embrace our differences. We are committed to furthering our culture of diversity and inclusion of our teams within the organization.How do you get items to customers quickly, cost-effectively, and—most importantly—safely, in less than an hour? And how do you do it in a way that can scale? Our teams of hundreds of scientists, engineers, aerospace professionals, and futurists have been working hard to do just that! We recently announced we are preparing for Prime Air deliveries to customers, and are excited for what’s to come. Check out more information about Prime Air on the About Amazon blog (https://www.aboutamazon.com/news/transportation/amazons-drone-delivery-is-coming-to-texas).If you are seeking an iterative environment where you can drive innovation, apply state-of-the-art technologies to solve real world delivery challenges, and provide benefits to customers, Prime Air is the place for you.Come work on the Amazon Prime Air Team!Prime Air is seeking an experienced System Test Engineer who combines superb technical, research and analytical capabilities with a demonstrated ability to get the right things done quickly and effectively. This person must be comfortable working with a team of top-notch developers and collaborating with our research teams. We’re looking for people who innovate and love solving hard problems! You will work hard, have fun, and of course, make history!This individual will lead the development of both product verification and manufacturing test platforms focusing on developing aerospace avionics products for the future.Key job responsibilitiesThis system test engineer will be responsible for leading the design and development of required Avionics component test platforms for both engineering verification and product manufacturing following internal development processes. This includes test equipment design & development, test procedure & report generation (product acceptance, requirements verification, electrical performance, & environmental), and leading product verification test campaigns enabling Prime Air to deploy delivery drones at scale. - Lead test equipment platform design & development efforts for Avionics products - Lead product verification test campaigns - Contribute to supplier selection and capacity assessments to optimize test infrastructure capability and supportability - Coordinate and lead outsource design and development test platform projects; be the supplier focal and manage SOW accordingly- Collaborate with Prime Air’s manufacturing test engineering team and supplier network to streamline tester development with capability to support both verification and acceptance test capabilities - Act as an individual contributor partaking in design & development activities when requiredExport Control LicenseThis position may require a deemed export control license for compliance with applicable laws and regulations. Placement is contingent on Amazon’s ability to apply for and obtain an export control license on your behalf. BASIC QUALIFICATIONS- Experience in automating, deploying, and supporting large-scale infrastructure- Experience programming with at least one modern language such as Python, Ruby, Golang, Java, C++, C#, Rust- Experience with Linux/Unix ...

Sr. Hardware Engineer - ML Acceleration, Annapurna Labs

AWS Utility Computing (UC) provides product innovations — from foundational services such as Amazon’s Simple Storage Service (S3) and Amazon Elastic Compute Cloud (EC2), to consistently released new product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Internet of Things (Iot), Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for customers who require specialized security solutions for their cloud services.Annapurna Labs (our organization within AWS UC) designs silicon and software that accelerates innovation. Customers choose us to create cloud solutions that solve challenges that were unimaginable a short time ago—even yesterday. Our custom chips, accelerators, and software stacks enable us to take on technical challenges that have never been seen before, and deliver results that help our customers change the world.We are seeking a Hardware Design Engineer with role in the definition, design and validation of AWS next generation ML Chips, Cards and server integration. As a senior member of our hardware team, you will have the outstanding and meaningful opportunity to participate in the design and execution of all PCIe and Serdes topics, with the goal of creating and customized platforms that fit within AWS datacenter’s world leading technology.As a member of the Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers. You’ll provide leadership in the application of new technologies to large scale server deployments in a continuous effort to deliver a world-class customer experience. This is a fast-paced, intellectually challenging position, and you’ll work with thought leaders in multiple technology areas. You’ll have high standards for yourself and everyone you work with, and you’ll be constantly looking for ways to improve your products performance, quality and cost. We’re changing an industry, and we want individuals who are ready for this challenge and want to reach beyond what is possible today.About the teamOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.BASIC QUALIFICATIONS- Deep knowledge with PCIe interface Gen4 or above, both Electrical and Functional at the chip level and at the PCB level. - Deep understanding of Transmission line theory and Electromagnetics and its application in SerDes, Single-ended signal and parallel bus interfaces. * Work with ODMs, IP Silicon vendors, component suppliers and internal design teams on cross-boundary triaging, debugging, and resolving issues.- Hands-on lab equipment skills (VNA, Realtime scope, Sampling scope and its accessories) for electrical validation and characterization. - Scripting skills to automate tests, logs parsing and data collection. - Strong technical communication skills (verbal and written) to interface with cross-functional technical leads within and/or outside of the organization. ...

Sr. Hardware Dev Engineer, Project Kuiper

Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband connectivity to unserved and underserved communities around the world.Come work at Amazon!As a Sr. Hardware Development Engineer (HDE), you will engage with an experienced cross-disciplinary team to conceive and design innovative product solutions. You will work closely with colleagues throughout Project Kuiper’s inter-disciplinary team to design and deliver hardware for the payload. You must be responsive, flexible and able to succeed within a collaborative and fast-paced environment.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.Key job responsibilitiesAs a Senior HDE, you will be a part of the team of experts working on the development of advanced electronic products. You will own or contribute to the following: • Design digital and analog and/or RF circuits in collaboration with various teams • Design and optimization of different blocks of electronics sub-systems. • Design for high speed and FPGA circuitry. • Optimize board design for high-speed clocks, transmission lines and their terminations. • Design and optimization of different blocks of electronics sub-systems. • Perform schematic capture and lead PCB layout implementation. • Work with software teams to determine requirements for FPGA and processor designs.• Bring up Linux on embedded systems. • Support flow-down requirement definitions and generate test plans/procedures • Board bring-up and perform test campaigns of modules and subsystems • Support design automated test systems, test fixtures and factory test• Work in the lab environment with various equipment like Oscilloscopes, Spectrum Analyzers, Logic Analyzers, Network Analyzers, etc. A day in the lifeAs a Sr. HDE, you will work in a multi-disciplinary environment to design and deliver hardware for space. This requires the ability to work from concept to production. Candidates must be comfortable to work in the lab to debug and verify their designs. About the teamThe RF Hardware and Systems team is full of talented engineers who are the best of the best. They cover a wide range technical areas from power supplies, high speed digital, mixed signal, and RF. So members of this team need to be comfortable in multiple domains.BASIC QUALIFICATIONS- BS degree in electrical engineering or computer engineering, or equivalent experience · 5+ years of experience in hardware development across full product life cycle.- 3+ years of experience in using schematic capture tool and PCB layout viewer- 3+ years of experience board bring up and debugging in lab environment- 3+ years of experience using lab equipment including soldering irons, hand-tools, oscilloscopes, logic analyzers, and spectrum analyzers ...

Sr. Thermal & Mechanical Engineer, Annapurna Labs

Amazon Web Services provides a highly reliable, scalable, low-cost infrastructure platform in the cloud that powers hundreds of thousands of businesses in 190 countries around the world. We have data center locations in the U.S., Europe, Singapore, and Japan, and customers across all industries. We are seeking experienced Hardware Design Engineers to build the next generation of our cloud server infrastructure. Our success depends on our world-class server infrastructure; we’re handling massive scale and rapid integration of emergent technologies. As a member of the Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers. You’ll provide leadership in the application of new technologies to large scale server deployments in a continuous effort to deliver a world-class customer experience. This is a fast-paced, intellectually challenging position, and you’ll work with thought leaders in multiple technology areas. You’ll have high standards for yourself and everyone you work with, and you’ll be constantly looking for ways to improve your products performance, quality and cost. We’re changing an industry, and we want individuals who are ready for this challenge and want to reach beyond what is possible today. Key job responsibilitiesAs a Thermal/Mechanical Engineer, you design and build the systems that are the heart of the world's largest and most powerful computing infrastructure. You develop from the lowest levels of circuit design to large system design and see those systems all the way through to high volume manufacturing. Your work has the potential to shape the machinery that goes into our cutting-edge data centers affecting millions of AWS users.A day in the lifeIn 2015, Annapurna Labs was acquired by Amazon Web Services (AWS). Since then, we have accelerated its innovation and developed a number of products that benefit cloud customers, including AWS Nitro technology, Inferentia custom Machine Learning chips, and AWS Graviton2 processors. Annapurna Labs is a silicon/system and software organization that is delivering all the chips used by AWS customers. Today this includes: Graviton, driving innovation for general purpose compute; Nitro, driving networking and storage scale, security and Hypervisor offload, and Machine Learning (ML) Trainium and Inferentia that are enabling customers to train and run GenAI applications permanently while keeping costs under control. About the teamAbout the teamOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.BASIC QUALIFICATIONS- BS or MS degree in Mechanical/Thermal Engineering- 10+ years industry experience in Mechanical and Thermal design of Systems- Experience in thermal and performance measurements and characterization on SoCs, Servers, and Systems- 3+ years of experience SoC Thermal modelling and IC package transient thermal response - Experience with Chip package, System Mechanical & Thermal design for air-cooled and liquid-cooled systems- Collaborate effectively with teams spanning multiple sites and develop detailed specifications for product teams to use- Work with ODMs, heatsink vendors, and internal design teams on cross-boundary triaging, debugging, and resolving issues across organization. ...

Sr. Supplier Quality Engineer (Electrical), Project Kuiper

Project Kuiper is an initiative to increase global broadband access through a constellation of 3,236 satellites in low Earth orbit (LEO). Its mission is to bring fast, affordable broadband to unserved and underserved communities around the world. Project Kuiper will help close the digital divide by delivering fast, affordable broadband to a wide range of customers, including consumers, businesses, government agencies, and other organizations operating in places without reliable connectivity.The ideal candidate is a systems thinker with excellent analytical abilities, strong technical skills, effective written and verbal communication, and most importantly, a passion for developing suppliers to produce high-quality, mission-critical hardware in volume production.Key job responsibilities• Own and lead Kuiper supplier quality management activities including requirements definition, qualification, production process validation, receiving/source inspection, CAPA, metrics reporting, and continuous improvement• Guide product development teams on supplier selection, assessment, audit and development decisions• Partner with manufacturing quality team to build a cohesive Quality System in compliance with AS9100 & IS09001• Scale supplier processes and quality systems to reduce defect parts-per-million (DPPM) with increasing volumes • Be a subject matter expert in the disciplines of PCB inspection and process control• Lead external audit teams• Influence supplier selections and engineering design decisions to factor sustainable quality• Influence supplier investments to reduce risk and improve delivered quality of components• Establish and monitor supplier mechanisms for verifying production processes remain in control• Work with Kuiper Engineering and to manage QC inspection & test frameworks at supplier • Analyze and improve supplier quality metrics• Represent Kuiper Supplier Quality in cross-functional initiatives• Travel as needed to accomplish program objectivesExport Control Requirement: Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.A day in the life• Participates in product development teams as owner of supplier quality system requirements• Acts with internal customer obsession on behalf of Kuiper production by reducing defect rates and driving root cause analysis• Builds and enforces implementation of the Kuiper Quality System• Serves as the face of Quality for PCB at suppliers that fabricate boards, communicating expectations and resolving issues• Facilitates PCB quality control as subject matter expert in • Owns development and automation of business processes impacting quality• Splits time between Amazon facilities and visiting PCB suppliersAbout the teamThe Kuiper Supplier Quality team is driven to develop a vendor base capable of scaling aerospace quality to new volume and cost levels in order to meet end customer needs. This position will join the team at an exciting moment with the opportunity to own quality for key categories and contribute to the design of requirements and systems used across the business. We value data driven decision making, a comfort with ambiguity across multiple simultaneous projects, an ability to earn the trust of our key stakeholders, and delivering results without sacrificing integrity. BASIC QUALIFICATIONS- Bachelor’s Degree in Engineering, Materials Science or related discipline, or equivalent experience.- 5+ years of PCBA quality, manufacturing or design engineering experience- 4+ years of experience in a supplier or manufacturing quality role in aerospace or other highly regulated industries- 3+ years of experience working with AS9100D or IATF16949 certified quality systems ...

Senior Product Engineer, Product Engineering

Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband connectivity to unserved and underserved communities around the world.Come work at Amazon!The Role:As Senior Semiconductor Product Engineer, you will engage with an experienced cross-disciplinary staff to conceive, design, and manufacture innovative semiconductor solutions for Kuiper’s satellite and ground terminal products. You will work closely with internal inter-disciplinary teams and third-party suppliers to drive key aspects of semiconductor product manufacturing, test, qualification, and reliability to meet our customers’ needs. You must be responsive, flexible and able to succeed within an open collaborative peer environment.In this role you will: Analyze foundry WAT data from development, corner lots and qual lots. Drive test program reviews with design, test and system teams. Collaborate with TE and outsource partner in test program bring-up and evaluation.  Define char plans and corner lots, and then oversee execution of char data collection and analysis at outsource partner. Interface between outsource partner and design / test teams to identify bugs, and support fixes during product bring-up. Drive test-time reduction by RF-DC and FT-WS correlation and reject-oriented analysis. Define qual plans based on evaluation of mission profile and execute to defined qual plans. Define test plans and limits for production lots, corners lots, and pre-/post-qualification lots based on system specs, and yield & Cpk targets. Identify root cause and drive fixes for any failures reported during qual.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.Key job responsibilitiesReview system spec and align with system, design and test teams on test coverage. Validate and confirm gauge capability across hardware for test solutions developed to system spec. Drive characterization and product bring-up. Correlate ATE data to bench results, defining correlation criteria and de-bugging cases of poor fit across platforms. Understand process and package technologies, and interlink to product dependencies, defining process corners, package evaluations and verifying production readiness across entire supply chain. Finalize production ATE specs for test program and complete buy-off from system, design and operations teams. Complete qualification and release to production meeting target yield and Cpk numbers.A day in the lifeCollaborate with DVT, design and test teams on working through product and test issues. Work with packaging team, OSAT and foundry to address any open engineering items. Track product bring-up and introduction to schedules with TPM teams. Run data analyses on product GRR, char and bench-ATE. Design and run corner lots in fab and through assy & test.About the teamA highly experience team of product engineers with breadth across RF & digital products, data analysis, automation and software solution development, and in-depth understanding of process, packaging and SOC solutions.BASIC QUALIFICATIONS Bachelor's degree in electrical/communications engineering or related field, or equivalent experience. 10+ years in semiconductor product engineering or foundry process operations, preferably in high-volume wireless SoC devices manufacturing. Up to 20% domestic or international travel required. ...

Hardware Development Engineer, FireTV

We are seeking an experienced Hardware Development Engineer to join our team. The Hardware Development Engineer will be responsible for the design, development, and testing of hardware components and systems. This includes schematic capture, PCB layout, simulation, prototyping, and validation of new hardware products. The ideal candidate should have a strong background in electrical engineering fundamentals, power & signal integrity, analog/digital circuit design, and high speed interface designs. Key job responsibilitiesYou will be responsible for the MLB (Main Logic Board) schematic capture, PCB layout reviews, and partner with internal/external teams to design and deliver hardware products. You will own or contribute to the following:1. Engage with OEM/ODM partners, vendors and cross functional teams to design, develop and test hardware applicable to program objectives.2. Perform schematic capture, review layout and validation results.3. Be hands-on for issue debug and root cause analysis.4. Collaborating with software teams to understand and resolve design tradeoffs across hardware/ software for seamless integration.5. Work with the operations team on manufacturing test development and contract manufacturing.6. Maintain strong communications among cross functional teams. About the teamThe FTV Hardware team is a dynamic and collaborative group focused on driving innovation in the TV space. As part of this team, you'll have the opportunity to work closely with a variety of partners and original equipment manufacturers (OEMs) on the latest innovations. It's a fast-paced, exciting environment where teamwork and a shared sense of purpose are paramount. If you thrive in a fast-moving, team-oriented culture and are passionate about driving the next generation of TV technology, the FTV Hardware team could be the perfect fit for you.BASIC QUALIFICATIONS- Bachelor's degree in electrical engineering or equivalent- Experience with prototyping and implementation ...

Mechanical Engineer I, Robotics Storage Technology

Are you inspired by invention? Is problem solving through teamwork in your DNA? Do you like the idea of seeing how your work impacts the bigger picture? Answer yes to any of these and you’ll fit right in here at Amazon Robotics. We are a smart, collaborative team of doers that work passionately to apply cutting edge advances in robotics and software to solve real-world challenges that will transform our customers’ experiences in ways we can’t even image yet. We invent new improvements every day. We are Amazon Robotics and we will give you the tools and support you need to invent with us in ways that are rewarding, fulfilling and fun. Job Overview – As an Amazon Robotics mechanical engineer, you will develop innovative system architectures and hardware designs for our robotic systems. The ideal candidate has experience- Designing and analyzing mechanical systems, - Enthusiastically enjoys analytical problem solving and possesses practical knowledge of high volume manufacturing methods; such as, stamping, extrusion, casting, and injection molding. - This position reports directly to the Mechanical Engineering Manager. Key job responsibilitiesThe Mechanical Engineer will be responsible for:- Collaborating with an interdisciplinary team to execute product designs from concept to production including specification, design, prototyping, validation and testing- Working with the Operations, Manufacturing, Supply Chain and Quality organizations as well as vendors to ensure a smooth product launch- Writing functional specifications, design verification plans, and functional test procedures- Exhibiting role model behaviors of thorough engineering analysis and cradle to grave ownership- Design and adaptation of holistic hardware solutions for ambiguous problems with fluid requirements.A day in the lifeAmazon offers a full range of benefits that support you and eligible family members, including domestic partners and their children. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include:1. Medical, Dental, and Vision Coverage2. Maternity and Parental Leave Options3. Paid Time Off (PTO)4. 401(k) PlanIf you are not sure that every qualification on the list above describes you exactly, we'd still love to hear from you! At Amazon, we value people with unique backgrounds, experiences, and skillsets. If you’re passionate about this role and want to make an impact on a global scale, please apply!BASIC QUALIFICATIONS- 2+ years of working in mechanical engineering or equivalent experience- Bachelor's degree in mechanical engineering or equivalent- SolidWorks or similar CAD experience with best practices for part design, advanced assemblies, complex configuration management- Hands on experience crafting simple proof-of-concept models- Thorough understanding and use of principals, theories and concepts in mechanical engineering- Strong organizational skills and the ability to work well with cross-functional teams- Ability to effectively communicate concepts and write technical documents ...

Sr. Mfg Quality Engineer, Project Kuiper

Project Kuiper is an initiative to increase global broadband access through a constellation of 3,236 satellites in low Earth orbit (LEO). Its mission is to bring fast, affordable broadband to unserved and underserved communities around the world. Project Kuiper will help close the digital divide by delivering fast, affordable broadband to a wide range of customers, including consumers, businesses, government agencies, and other organizations operating in places without reliable connectivity.The Kuiper Manufacturing Quality team is driven to deliver high-quality product lines while maintaining a fast production rate. This position will join the team at an exciting moment, with an opportunity to directly own the quality outputs of some of our critical sub-systemsAs a Sr. Mfg Quality Engineer, you will have the opportunity to own product performance for some of the most critical sub-assemblies of the satellite, delivering creative solutions to reduce defects, and planning to prevent defects using technical quality skills.Export Control Requirement: Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.Key job responsibilities- Lead as Product QE over satellite mechanism assemblies, including process definition and validation for assembly and test methods, part and process qualification, inspection plans, in-process inspection capabilities, and process monitoring.- Lead MRB discussions determine product dispositions for nonconforming material.- Dive deep into defect reduction activities for the mechanisms subassemblies, and drive innovative solutions to prevent recurrence of defects.- Work directly with Inspection, Supplier Quality, and Engineering teams to develop and qualify inspection, monitoring, and verification methods for the Kuiper Production System all through the production system and ensure a no defect mentality- Be a Quality SME for the mechanisms sub-assemblies, owning defect reduction, reporting, and overall quality performance of the mechanism subassemblies, including electromechanical hardware, high cleanliness/FOD sensitivity, tight tolerance & high precision machined parts, high criticality attributes- Lead and develop new and novel process mechanisms for cross functional production system teams.A day in the life- Own yield performance for the mechanisms subassemblies, setting up monitoring systems using production data, and leading projects to creatively deliver solutions to recurring issues in production- Investigates Production System issues to reduce defect rates and drive root cause corrective actions, and continuous improvement projects- Implements the Kuiper Quality System through process development and launch mechanisms across teams similar to AS9100 requirements- Own and report out on product performance to quality and manufacturing leadership.- Partners with cross-functional groups to develop and qualify product, processes, and equipment, including Quality Assurance processes- Perform audits to ensure compliance to QMS and product requirements.- Develop and modify process flow diagrams, PFMEAs, control plans, MSAs, and other APQP artifacts related to satellite mechanisms.BASIC QUALIFICATIONS- Experience in developing functional specifications, design verification plans and functional test procedures- 5+ years as quality engineer owning performance over high precision assemblies in aerospace applications ...

Sr. Product Design Engineer, Advanced Product Team

Amazon Lab126 is an inventive research and development company that designs and engineers high-profile consumer electronics. Lab126 began in 2004 as a subsidiary of Amazon.com, Inc., originally creating the best-selling Kindle family of products. Since then, we have produced innovative devices like Fire tablets, Fire TV and Amazon Echo. What will you help us create? Key job responsibilitiesAs a Product Design Engineer, you will be responsible for the mechanical design, development and quality of products. You will take responsibility for leading project teams, technical problem solving, creatively meeting product objectives, at times delegating tasks to achieve your objectives and mentoring junior engineers. You will demonstrate cross-functional resource interaction to accomplish your goals. You will play a role in defining processes and methods to improve the productivity of the entire team. You will identify and initiate investigations of production technologies, prototype and test solutions for product features, and design and validate production designs that deliver an exceptional user experience. You will demonstrate a strong working knowledge of mechanical systems, with expertise in systems integration, the desire to learn from new challenges and the problem solving and communication skills to work within a highly interactive and experienced team. You must show a hands-on passion for their work and be able to communicate your ideas and concepts both verbally and visually. You must demonstrate an ability to integrate feedback and to respond quickly to changing contexts and work environments. In this role, you will: - Research into materials and component technologies to scope development opportunities - Feature design and testing to meet program objective - Test failure analysis and solution validation to complete successful product development - Provide detail part design and product documentation - Field failure analysis and solution evaluation for production product issues - Support positive team interactions to accomplish program objectives - Provide cross-functional program integration support to insure team success - Lead project teams to timely delivery of products - Schedule and milestone management - Manage interaction with overseas resources - Apply technical expertise to problem solving throughout product lifecycle - Mentor junior engineers in good product design practice BASIC QUALIFICATIONS- 6+ years of working in mechanical engineering or equivalent experience ...

Hardware Development Engineer, OISL Reliability

Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband connectivity to unserved and underserved communities around the world.The Electrical Engineer will be a member of the Kuiper Inter-Satellite Link Avionics team, focusing on the design of the various electrical functions including analog sensor signal conditioning and processing, analog actuator control, and overall monitoring and control across spacecraft interfaces.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.Key job responsibilitiesIn this role, you will be expected to lead a variety of tasks, from board design and component selection, to layout review and board bring-up and test. You will be responsible for ensuring high quality and innovative designs, while also supporting other Project Kuiper colleagues with reviewing and improving board and other electrical designs.BASIC QUALIFICATIONS- B.S. in Electrical/Computer Engineering or related field, or equivalent experience- 3+ years of experience in hardware development across the full product life cycle (concept to production at scale) in Consumer Electronics, Power Electronics, Robotics, Aerospace, Automotive, and/or Communications fields or combination thereof.- Experience with board reliability designs.- Experience with schematic and layout ECAD tools ...

Mechanical Engineer, Solar, Kuiper Mechanical

Project Kuiper is an initiative to increase global broadband access through a constellation of 3,236 satellites in low Earth orbit (LEO). Its mission is to bring fast, affordable broadband to unserved and underserved communities around the world. Project Kuiper will help close the digital divide by delivering fast, affordable broadband to a wide range of customers, including consumers, businesses, government agencies, and other organizations operating in places without reliable connectivity.This role is for a highly innovative and dynamic individual with the ability to design the highest power, lowest cost per watt, and lowest mass solar module flown in space! In this role, you will be responsible for the design definition, analysis, and test methodology to verify and demonstrate that the solar module will meet the end of life power requirements for the satellite constellation. You will apply research, your creativity, and experience to develop a solar module that meets the satellite reliability goals. You will be able to trade the design space ranging from power output, mass, cost, manufacturability, reliability, and degradation factors. This is a hands-on role and requires a self-starter with extensive skills in materials selection and solar cell packaging. You will be expected to defend your design decisions through technical design reviews and demonstrate the products produce-ability and performance through the full product development life cycle.Key job responsibilities- Design and improve upon the solar modules used on the Kuiper Satellites. This includes aspects related to test, reliability, and material selection to optimize degradation factors and manufacturability.- Identify and analyze requirements in support of trade studies and the solar modules interfaces to the solar array assembly.- Create, iterate, and own detailed CAD models of the solar module design options - Including drawings, process requirements, models, and the identification of critical characteristics.- Analyze (classical / FEA) and respond to all load conditions (static, dynamic, thermal, fatigue) for compliance.- Build development sample solar modules as path finders for further process and design improvements.- Develop test plans throughout development with variable isolation DOEs and statistical analysis for long term reliability assessments as needed.- Define qualification plans and identify acceptance testing methods at volume production.- Facilitate cost trades on multiple parallel configurations for cost/manufacturability vs design uncertainty.- Produce complete design packages – detailed drawings, analysis reports, BOMs, Assembly documentation, test reports. Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.BASIC QUALIFICATIONS- Bachelor's Degree in Mechanical, Materials Science, or equivalent.- 4 years minimum work experience as a mechanical engineer.- 2 years minimum work experience on Solar Modules, Solar Cells, or Solar Arrays.- Experience in developing functional specifications, design verification plans and functional test procedures- Proficiency with CAD (Computer Aided Design) and PLM (Product Lifecycle Management) systems.- Experience defining and writing requirements and test plans for complex systems. ...

Sr. Physical Design Engineer, Annapurna Labs

Amazon Web Services provides a highly reliable, scalable, low-cost infrastructure platform in the cloud that powers hundreds of thousands of businesses in 190 countries around the world. We have data center locations in the U.S., Europe, Singapore, and Japan, and customers across all industries.Custom SoCs (System on Chip) live at the heart of AWS Machine Learning servers. As a member of the Cloud-Scale Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers including AWS Inferentia, Trainium Systems (our custom designed machine learning inference and training datacenter servers). Our success depends on our world-class server infrastructure; we’re handling massive scale and rapid integration of emergent technologies. We’re looking for an ASIC Physical Design Engineer to help us trail-blaze new technologies and architectures, while ensuring high design quality and making the right trade-offs.Key job responsibilities- Work with RTL/logic designers to drive architectural feasibility studies, explore power-performance-area tradeoffs for physical design closure- Drive IO/Core block physical implementation through synthesis, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, ECO and sign-off- Develop physical design methodologies- Evaluate 3rd party IP and provide recommendations- Be a highly-valued member of our start-up like team through excellent collaboration and teamwork with other physical design engineers as well as with the RTL/Arch. teamsAbout the teamInclusive Team CultureHere at AWS, we embrace our differences. We are committed to furthering our culture of inclusion. We have ten employee-led affinity groups, reaching 40,000 employees in over 190 chapters globally. We have innovative benefit offerings, and host annual and ongoing learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences. Amazon’s culture of inclusion is reinforced within our 16 Leadership Principles, which remind team members to seek diverse perspectives, learn and be curious, and earn trust.BASIC QUALIFICATIONS- BS + 8yrs or MS + 6yrs in EE/CS- 6+ years in ASIC Physical Design from - RTL-to-GDSII in either 7nm, 14/16nm, 20nm, or 28nm- Block Design using EDA tools (examples: Cadence, Mentor Graphics, Synopsys, or Others) including synthesis, equivalency verification, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, and ECO- Deep understanding on sign-off activities (timing, ir/em, physical verification)- Scripting experience with Tcl, Perl or Python ...

Physical Design Engineer, Annapurna Labs

AWS Utility Computing (UC) provides product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for their cloud services. Additionally, this role may involve exposure to and experience with Amazon's growing suite of generative AI services and other cutting-edge cloud computing offerings across the AWS portfolio.Annapurna Labs (our organization within AWS UC) designs silicon and software that accelerates innovation. Customers choose us to create cloud solutions that solve challenges that were unimaginable a short time ago—even yesterday. Our custom chips, accelerators, and software stacks enable us to take on technical challenges that have never been seen before, and deliver results that help our customers change the world.Amazon Web Services provides a highly reliable, scalable, low-cost infrastructure platform in the cloud that powers hundreds of thousands of businesses in 190 countries around the world. We have data center locations in the U.S., Europe, Singapore, and Japan, and customers across all industries.Custom SoCs (System on Chip) live at the heart of AWS Machine Learning servers. As a member of the Cloud-Scale Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers including AWS Inferentia, Trainium Systems (our custom designed machine learning inference and training datacenter servers). Our success depends on our world-class server infrastructure; we’re handling massive scale and rapid integration of emergent technologies. We’re looking for an ASIC Physical Design Engineer to help us trail-blaze new technologies and architectures, while ensuring high design quality and making the right trade-offs.Key job responsibilities- Work with RTL/logic designers to drive architectural feasibility studies, explore power-performance-area tradeoffs for physical design closure- Drive IO/Core block physical implementation through synthesis, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, ECO and sign-off- Develop physical design methodologies- Evaluate 3rd party IP and provide recommendations- Be a highly-valued member of our start-up like team through excellent collaboration and teamwork with other physical design engineers as well as with the RTL/Arch. teamsA day in the lifeAbout the teamOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying. About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud. Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional. BASIC QUALIFICATIONS- BS + 4yrs or MS + 3yrs in EE/CS- 4+ years of experience in ASIC Physical Design from - RTL-to-GDSII in either 7nm, 14/16nm, 20nm, or 28nm- Block Design using EDA tools (examples: Cadence, Mentor Graphics, Synopsys, or Others) including synthesis, equivalency verification, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, and ECO- Deep understanding on sign-off activities (timing, ir/em, physical verification)- Scripting experience with Tcl, Perl or Python ...

Senior Hardware Development Engineer, ESH - Edge and Specialized Hardware

AWS Infrastructure Services owns the design, planning, delivery, and operation of all AWS global infrastructure. In other words, we’re the people who keep the cloud running. We support all AWS data centers and all of the servers, storage, networking, power, and cooling equipment that ensure our customers have continual access to the innovation they rely on. We work on the most challenging problems, with thousands of variables impacting the supply chain — and we’re looking for talented people who want to help. You’ll join a diverse team of software, hardware, and network engineers, supply chain specialists, security experts, operations managers, and other vital roles. You’ll collaborate with people across AWS to help us deliver the highest standards for safety and security while providing seemingly infinite capacity at the lowest possible cost for our customers. And you’ll experience an inclusive culture that welcomes bold ideas and empowers you to own them to completion.Server Hardware Engineer (aka Lead Engineer (LE)). Amazon Web Services (AWS) Hardware Engineering team creates compute, storage, accelerator, and enterprise servers for Amazon’s innovative web services. We are seeking experienced hardware design engineers to design and build next-generation servers and AWS specific hardware components. Our designs are industry-leading in performance, frugality and operational excellence, and are critical to the success of the AWS business and the more than one million customers who use AWS today. Our Hardware Engineers solve challenging technology problems, and build architecturally sound, high-quality servers and components to enable AWS to realize critical business strategies. Our success depends on our highly respected server infrastructure; we’re handling massive scale and rapid integration of emergent technologies.What you will do: As a member of the Edge and Specialized Hardware team, you’ll be responsible for integration of hardware/software components to build our servers, working with service teams to define products, and supporting operations in all the locations we have servers. You will interact with engineers across the company and work with an interdisciplinary team to execute product designs from concept to production including design, development, validation, and the deployment of servers at scale.You will solve design challenges across many disciplines including server/board design, thermal-mechanical design, server firmware/software, integration into our software service control plane, manufacturing, and operations. You will deliver functional block diagram, schematics, specifications, analysis, test plans, and monitoring plans used to build our servers and components. You will work closely with internal teams, suppliers, and external partners to ensure our designs are of the highest quality. You will lead cross-organizational teams to improve key factors such as total cost of ownership, quality, reliability, performance, and serviceability. You will be an end-to-end owner from concept, design, launch, and operation in our fleet. You will be hands on and comfortable with the entire technology stack from the service software all the way down to the silicon. You will use high judgement to solve problems individually or guide others when help is needed.This is a fast-paced, challenging position, and you’ll work with thought leaders in multiple technology areas. You’ll have high standards for yourself and everyone you work with, and you’ll be constantly looking for ways to improve your products performance, quality and cost. We’re changing an industry, and we want team members who are ready for this challenge and want to reach beyond what is possible today.Why it matters: Public cloud IT services represent the majority of growth in the overall IT services market and will continue to do so for several years to come. The scale of AWS, combined with an understanding of how our hardware is used, creates a unique opportunity for hardware customizations that will directly benefit AWS customers.Why you will love it: You will work directly with engineers across the company to build next-generation hardware. You will have a direct impact on our bottom line and the ability to deliver improvements for our customers. You will be part of a growing, fast paced, and fun team. You will have ownership for the implementation of your work.Key job responsibilitiesAs Server Hardware Engineer you will be responsible for bringing new technology to our server & products as well as scaling how we operate our massive existing & rapidly growing fleet.You will collaborate with internal development teams and external suppliers to deliver new servers with industry leading performance and qualityYou will establish strategic vision and drive execution in defining and delivering new products and servicesYou will lead the integration and delivery of servers, support the development of automated monitoring, and failure analysis services to operate, debug, and scale our serversYou will work closely with other AWS software teams to tailor and operate servers solutions for the AWS environmentYou will support launching our servers into production and operating our fleet of serversA day in the lifeYour day to day responsibilities will include interfacing with our internal and external customers to understand project requirements and facilitate system development on top of your server design. You will be responsible for solving operational challenges to our existing fleet with the goal of improving the current customer experience as well as developing improved systems for future designs. You will work directly with vendors and ODM/JDM design teams to develop and manufacture your product at scale.About the teamThe team is comprised of both Hardware Design Engineers, System Design Engineers, Software Development Engineers and Technical Program Managers, all with the common goal of delivering the best specialized server fleet possible to our customers.*Why AWS*Amazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.*Diverse Experiences*Amazon values diverse experiences. Even if you do not meet all of the preferred qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.*Work/Life Balance*We value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.*Inclusive Team Culture*Here at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.*Mentorship and Career Growth*We’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.BASIC QUALIFICATIONS- A Bachelor's degree in Electrical or Computer Engineering or a related field, or equivalent experience- 7+ years of experience in server level design for compute, storage, accelerator segment or other complex product design- 7+ years’ experience leading ODMs and other suppliers in the product development and manufacturing processes- Experience in developing functional specifications, design verification plans and functional test procedures- Experience in board and server root cause analysis and resolution ...