Electrical Engineer II, Physical Stores Tech

The Team: Amazon Go is a new kind of store with no lines and no checkout. You simply use the Amazon Go app, credit card, or palm biometric to enter the store, take what you want from our selection of fresh, delicious meals and grocery essentials, and go.Our checkout-free shopping experience is made possible by our Just Walk Out Technology. It automatically detects when products are taken from or returned to the shelves and keeps track of them in a virtual cart. When you’re done shopping, you just leave the store. Shortly after, we’ll charge your Amazon account and send you a receipt. Check it out at amazon.com/go. Designed and custom-built by Amazonians, our technology uses computer vision, sensor fusion, and advanced machine learning. Innovation is part of our DNA. We need people who want to join a high-reaching program that continues to collaborate and push the state of the art in computer vision, machine learning, distributed systems and hardware design. - We are looking for excellent engineers that epitomize technical and operational excellence – that can drive innovation and apply technologies to solve real world challenges. - As an experienced Electrical Engineer within our team, you will engage with a skilled and accomplished cross-disciplinary staff to conceive and design innovative technologies. You will collaborate with internal and external stakeholders to drive key aspects of technology solution definition, execution and validation. - Full cycle product development experience from inception through maturity is important. Working simultaneously on projects in different phases is common; you are able to demonstrate strong design and validation skills in addition to the understanding of mechanics of ongoing operational excellence and product support. - You are responsive, flexible, and able to succeed within an open collaborative peer environment.The Role: Everyone on the team needs to be entrepreneurial, wear many hats and work in a highly collaborative environment that's more startup than a big company. We will need to tackle problems that span a variety of domains: computer vision, image recognition, machine learning, real-time and distributed systems. You will tackle challenging, novel situations every day and, given the size of this initiative, you will have the opportunity to work with multiple technical teams at Amazon in different locations. You should be comfortable with a high degree of ambiguity and relish the idea of solving problems that haven't been solved at scale before. Along the way, we guarantee that you will learn a ton, have fun and make a positive impact on millions of people. BASIC QUALIFICATIONS- Bachelor's degree in electrical engineering or equivalent- 4+ years of working in electrical engineering or equivalent experience- Hands-on experience with schematic entry and PCB layout tools- Experience in developing functional specifications, design verification plans and functional test procedures- Experience working with interdisciplinary teams to execute product design from concept to production- Experience in developing quick prototypes as proof-of-concept- Experience with Design for Manufacturability (DFM)- Experience with use of test equipment including oscilloscopes, power supplies, and function generators- Experience with soldering and rework of fine-pitch electronics/PCBAs- Experience with microcontrollers, microprocessors, or mixed-signal designs- Familiarity with Linux/Android command-line interface to run scripts ...

Sr. Network Development Engineer, Amazon Robotics

The Amazon Robotics Infrastructure Engineering team is looking for a Network Development Engineer (NDE) to join our team. We build and operate the network and services that enable Amazon Fulfillment Centers to deliver packages to Amazon retail customers. From two million square foot automated robotics fulfillment centers to small last-mile delivery stations in emerging markets, these networks are the backbone of Amazon Operations. Hundreds of thousands of associates rely upon these networks at thousands of locations, and are critical to the delivery of shipments to Amazon retail customers.Our mission is to design, deploy, and support networks that sets the global standard for performance, availability, security and cost, enabling Fulfillment and Logistic operations to deliver on time, every customer order. We are looking for a Network Development Engineer to create next-generation network products. The team is focused on automating networks from multiple diverse vendors, maximizing reliability while minimizing human touch in deployment and maintenance activities. We partner closely with IT support teams to ensure that simplicity in our product designs translate to supportability in the field. Information security rigor is at the core of everything we do. You will tackle challenging, novel situations every day and have the opportunity to work with multiple technical teams at Amazon in different locations. You should be comfortable with a high degree of ambiguity and relish the idea of solving problems that haven't been solved at scale before. It is expected that you will be technically fearless, while at the same time being balanced and pragmatic in your approach. Along the way, we guarantee that you will learn a lot and make a positive impact on millions of people.The right candidate will have demonstrated experience leading large projects and have an expert level technical background in current networking technologies. You must be able to thrive and succeed in an entrepreneurial environment, and not be hindered by ambiguity or competing priorities. This means you are not only able to develop and drive high-level strategic initiatives, but can also roll up your sleeves, dig in and get the job done. As a NDE, you will anticipate bottlenecks, influence external vendors and internal team roadmaps, provide escalation management, anticipate and make tradeoffs, and balance the business needs versus technical constraints.Key job responsibilitiesAs a NDE, you will be expected to:· Write code for our most demanding, cross-functional networking projects.· Functionally decompose complex problems into simple, straight-forward solutions.· Fully and completely understand system inter-dependencies and limitations.· Effectively research and benchmark Amazon technology against other competing systems in the industry.· Contribute intellectual property through patents.· Assist in the career development of others, actively mentoring individuals and the community on advanced technical issues and helping managers guide the career growth of their team members.A day in the lifeAmazon offers a full range of benefits that support you and eligible family members, including domestic partners and their children. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include:1. Medical, Dental, and Vision Coverage2. Maternity and Parental Leave Options3. Paid Time Off (PTO)4. 401(k) PlanBASIC QUALIFICATIONS- 5+ years of major internet routing protocols experience- 5+ years of working in a Linux/Unix environment experience- 5+ years of automation scripting using Python, Bash, Shell and/or Perl experience- Experience with AWS and AWS networking products such as Direct Connect and Transit Gateway ...

System Test Engineer, Prime Air

Here at Amazon, we embrace our differences. We are committed to furthering our culture of diversity and inclusion of our teams within the organization.How do you get items to customers quickly, cost-effectively, and—most importantly—safely, in less than an hour? And how do you do it in a way that can scale? Our teams of hundreds of scientists, engineers, aerospace professionals, and futurists have been working hard to do just that! We recently announced we are preparing for Prime Air deliveries to customers, and are excited for what’s to come. Check out more information about Prime Air on the About Amazon blog (https://www.aboutamazon.com/news/transportation/amazons-drone-delivery-is-coming-to-texas).If you are seeking an iterative environment where you can drive innovation, apply state-of-the-art technologies to solve real world delivery challenges, and provide benefits to customers, Prime Air is the place for you.Come work on the Amazon Prime Air Team!Prime Air is seeking an experienced System Test Engineer who combines superb technical, research and analytical capabilities with a demonstrated ability to get the right things done quickly and effectively. This person must be comfortable working with a team of top-notch developers and collaborating with our research teams. We’re looking for people who innovate and love solving hard problems! You will work hard, have fun, and of course, make history!This individual will lead the development of both product verification and manufacturing test platforms focusing on developing aerospace avionics products for the future.Key job responsibilitiesThis system test engineer will be responsible for leading the design and development of required Avionics component test platforms for both engineering verification and product manufacturing following internal development processes. This includes test equipment design & development, test procedure & report generation (product acceptance, requirements verification, electrical performance, & environmental), and leading product verification test campaigns enabling Prime Air to deploy delivery drones at scale. - Lead test equipment platform design & development efforts for Avionics products - Lead product verification test campaigns - Contribute to supplier selection and capacity assessments to optimize test infrastructure capability and supportability - Coordinate and lead outsource design and development test platform projects; be the supplier focal and manage SOW accordingly- Collaborate with Prime Air’s manufacturing test engineering team and supplier network to streamline tester development with capability to support both verification and acceptance test capabilities - Act as an individual contributor partaking in design & development activities when requiredExport Control LicenseThis position may require a deemed export control license for compliance with applicable laws and regulations. Placement is contingent on Amazon’s ability to apply for and obtain an export control license on your behalf. BASIC QUALIFICATIONS- Experience in automating, deploying, and supporting large-scale infrastructure- Experience programming with at least one modern language such as Python, Ruby, Golang, Java, C++, C#, Rust- Experience with Linux/Unix ...

NPI Sr. Product Development Engineer -Manufacturing, Design Engineering Services

Are you inspired by invention? Is problem solving through teamwork in your DNA? We apply advances in robotics and software to solve real-world problems that delight our customers' experiences in ways we can't even imagine yet. Amazon Robotics is located in the greater Boston area, the epicenter of robotics innovation. Our diverse team of engineers come from all over the world to design, test and build our dynamic fleet of robots and mechatronic systems. Having our offices, labs, test floors and factories all co-located means that we can design the hardware, write the code, test, build and watch it all come to life. This allows us to innovate quickly and on limitless ideas. Current advancements are underway in autonomous movement and mobility, artificial intelligence and machine learning, manipulation, simulation, robotic management software, predictive analytics, and much more. We are seeking a talented and motivated Product Development Engineer with a proven track record of implementing best in class processes within a complex design and manufacturing environment. This role will report to the Manager of Product Development and is an integral member of the Design Engineering Services team. You will be responsible for applying design for X (DFx) principles during new product introduction (NPI) of a wide range of products from initial concept to beta. You will work to integrate customer scaling requirements for safety, manufacturability, deployability, and supportability into new product designs. Additionally, you will work cross-functionally to develop best in class DFx feedback and services; guide product lifecycle changes, lead bill of material (BOM) strategy, lead technology feasibility and improvement projects, and drive to technical root cause utilizing advanced problem solving. The successful candidate will have extensive experience in both design and manufacturing combined with capability of making wide-ranging business decisions on behalf of the organization.Key job responsibilities - Actively support and foster a culture of inclusion. - Provide design for X guidance/feedback on electromechanical designs. - Guide best practices on manufacturing tolerances using GD&T. - Launch prototype tooling with accelerated schedules and assess project risk. - Leverage knowledge of multiple production processes to identify risk to programs and provide mitigation strategies. - Analyze new products dimensional data. - Drive internal and external DFMEA reviews. - Solve technical problems across a broad set of design and manufacturing commodities. - Mentor team members and design engineers. - Propose design changes that will enhance product manufacturability and testability. - Evaluate and approve Design Engineering Changes Orders (DECOs) and Engineering Change Orders (ECOs) - Implement best practices for engineering standards (ex. IPC-620 WHMA Class II) - Analyze NPI failures to identify root cause and drive improvements through future DFx cycles. - Effectively write White Papers (WP) and Manufacturing Concept Papers (MCP) to inform and influence teams and stakeholders - Take ownership to identify issues/problems and drives them to completion with little oversight - High level of attention to detail but flexibility to enable to business to move at a high velocity.- Navigate projects consisting of a high level of ambiguity and provide guidance to multiple partners. - Up to 30% travel required (domestic and international).A day in the lifeProduct Development Engineers work with many different internal and external organizations. We communicate frequently on technical topics with our design partners and NPI suppliers during the NPI process. We see ahead of curves and turns in the programs and prevent problems or risks from impacting our performance targets and goals.About the teamThe Design Engineering Services Team is made up of multiple talented individuals with various educational and practical experience backgrounds. This team has a strong desire to provide value to our stakeholders and evaluate the latest NPI techniques and manufacturing technologies to constantly improve and innovate. We do travel to manufacturers and evaluating prototypes as they are being made during NPI phases.Team members are encouraged to be highly independent; however, a strong support system is available to help any team members through problem solving phases.BASIC QUALIFICATIONS- Experience in developing functional specifications, design verification plans and functional test procedures- 5+ years of relevant industry experience in NPI with strong knowledge of manufacturing.- Bachelors degree in an engineering discipline, Mechanical, Systems, Robotics, Electrical, Manufacturing or suitable experience.- Proficient in 3D modeling software (ex: Solidworks) ...

Sr L1/L2 Embedded SW Architect, Wireless Systems

Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband connectivity to unserved and underserved communities around the world. As a Systems Engineer, this role is primarily responsible for the design, development and integration of Ka band and S/C band communication payload and customer terminal systems. The Role will be a part of the team defining the overall communication system and architecture of Project Kuiper’s broadband wireless network. This is a unique opportunity to innovate and define novel wireless technology with few legacy constraints. The team develops and designs the communication system of Kuiper and validates its overall system level performance within key metrics such as throughput, latency, system availability, packet loss etc.This role in particular will be responsible for leading the software architecture design and implementation of the modem firmware. This role will also play a critical role in the design, integration and verification of various HW and SW sub-systems as a part of system integration and link bring-up and verification.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.Key job responsibilitiesDesign the embedded software architecture operating the Physical Layer (L1) Modem across multiple nodes within the Kuiper networkDevelop embedded software in C or C++ running in Linux and RTOS.Work with ASIC development teams to build ultra-reliable and efficient embedded software stacks to be integrated into Kuiper modem software.Work with L2 MAC software teams to design and implement reliable interfaces and inter-process communications between the L1 and L2 software stacks.Work with software teams to design and implement interfaces for L1 modem software administration, management, and telemetry.Provide specifications and work with implementation teams on the development of embedded L1/L2 SW architectures.Work with multi-disciplinary teams to develop advanced solutions for time, frequency and spatial acquisition/tracking in LEO systems, particularly under large uncertainties. Work with development teams on system integration and debugging from PHY to network layer, including interfacing with flight computer and SDN control subsystems.Willing to work in fast-paced environment and take ownership that goes from algorithm specification, to HW/SW architecture definition, to proof-of-concept development, to testbed bring-up, to integration into the Kuiper system.Ability to adapt design and test activities based on current HW/SW capabilities delivered by the development teams.BASIC QUALIFICATIONSMaster’s degree in Electrical Engineering, related discipline, or equivalent experience5+ years professional experience working in mission critical software embedded systems.Emphasis or work experience in broadband wireless or wireline communication embedded systems.Experience in HW/SW co-design to achieve power/area efficient solutions to be integrated into heterogeneous/distributed computing architectures consisting of SoCs, FPGAs and general-purpose processors. Understating of modem L1/L2 algorithms and architectures.Broad spectrum of knowledge to be able to understand end-to-end network system architecture from wireless physical layer all the way up to application end-point.Experience with software defined radios (SDRs) and embedded programming in C/C++ and VHDL/Verilog is a plus. ...

Manufacturing Engineer, Project Kuiper

Project Kuiper is an initiative to increase global broadband access through a constellation of 3,236 satellites in low Earth orbit (LEO). Its mission is to bring fast, affordable broadband to unserved and underserved communities around the world. Project Kuiper will help close the digital divide by delivering fast, affordable broadband to a wide range of customers, including consumers, businesses, government agencies, and other organizations operating in places without reliable connectivity.We're looking for a Manufacturing Engineer to lead production development.As the Manufacturing Engineer for a Line Replaceable unit at Project Kuiper, you will be directly responsible for the production process development, introduction, improvement, & maintenance of a key module on our next generation spacecraft. You’ll be the leading technical voice for a team of manufacturing engineers working on the product, solving challenging technical problems associated with delivering an ultra-high-performing optical, electrical, and mechatronic module to space. You will serve as a key lynchpin connection design/development, factory operations, quality engineering, program management, and more as you drive the manufacturing processes, we use from initial production introduction to full rate production. You will leverage your expertise in systems design to partner with development on the design of future iterations of the system and sub-assembly and our associated production test systems & tooling. You’ll solve complex industrial challenges associated with balancing production velocity, exceptional quality, and cost competitive production concepts while ensuring smooth technical execution of current production operations. You’ll be a key animating force in the increase in production capacity through vision & execution of capital investment for production scaling & automation.Key job responsibilities- Conceptualize, design, develop, and improve production processes (assembly, integration, test processes) through collaboration/leadership of a team of manufacturing engineers.- Serve as primary technical interface to development team, participating as the lead technical voice from the factory in Design Reviews, key technical escalations, and new product development activities.- Support operations team directly by being a high solving power engineer, capable of bringing multiple diverging technical perspectives together in high pressure escalation moments such as quality containments.- Own the capacity increase roadmap for production including defining the relevant capital equipment required for rate increase and helping to lead the design, procurement, install, and qualification of new rate enabling equipment.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.A day in the life- 25% - On the shop floor, working with production teams to implement new processes, review & solve key technical blockers in production, performing production development testing, etc.- 25% - Independent development work such as deep diving on available data sets to identify and implement key production improvement actions, acting on quality escapes by developing and implementing new quality control measures, developing & executing to existing roadmaps for capacity increase, process simplification, etc.- 40% - Meeting settings, collaborating with others on topics such as new design introductions, production process change management, production solution finding reviews, etc.- 10% - Mentorship settings with other engineers on the team, performing collaborative work & coaching to develop the team members skillsets, while ensuring common team execution to your technical visionAbout the teamThe Optical Inter-Satellite Link Production team is a multi-disciplinary organization responsible for all aspects of production of the key modules enabling satellite-to-satellite communication. The Manufacturing Engineering Team is the technical arm of this organization, with 20+ engineers from all disciplines collaborating on all aspects of technical development & execution in production. As a Lead Manufacturing Engineer, you will be the technical leader of a team of 4-6 manufacturing engineers.BASIC QUALIFICATIONS- Experience in developing functional specifications, design verification plans and functional test procedures- Degree in one of the following areas OR related field OR equivalent work experience:- - Mechanical Engineering- - Optical Engineering- - Electrical Engineering- - Physics- - Optics/Optical Sciences- Demonstrated experience with Opto-mechanical systems, including optical bonding, fiber optic assembly, laser systems fabrication, optical metrology, and mechatronic systems- Familiarity with Low-Rate Manufacturing Environments & New Product Introduction- Knowledge of Lean manufacturing practices & principles- Experience with Root Cause Analysis Techniques such as Fishbone, Fault Tree, DMAIC, or related technologies/processes ...

Test Automation Engineer, Avionics Test Engineering

Come work at Amazon! Innovation is part of our DNA! Our goal is to be Earth's most customer centric company, and we are just getting started. We seek professionals who are eager to join an ambitious project that continues to push the state of the art in satellite system design, verification test, and operations. Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband connectivity to unserved and underserved communities around the world.We are looking for a test automation engineer who combines superb technical capabilities with a demonstrated ability to get the right things done quickly and effectively. You will work with a team of top-notch engineers and will collaborate with multiple spacecraft design, test, and operations teams. You should be comfortable with a high degree of ambiguity and relish the idea of solving problems that haven't been solved at scale before. Along the way, we guarantee that you will learn a lot, have fun, and make a positive impact on tens of millions of people. Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.Key job responsibilities• Develop automation for lab equipment like spectrum analyzers, signal generators, electronic loads, power supplies, network analyzers, high-speed oscilloscopes, etc.• Develop control and automation of thermal chambers for hardware product testing.• Support development and deployment of test systems to development and production test campaigns.If this sounds like the role for you, come make history with us! A day in the lifeYou will develop and deliver test systems for avionics subsystems for both development and production test. You will support development test campaigns, which include LRU qualification test and reliability test. You will will support the deployment of your test systems to production test, which include external and internal manufacturing test.About the teamOur team designs and delivers the test systems that enable qualifying and acceptance testing the hardware subsystems for the flight computer, power delivery, and RF systems on the satellite avionics bus. BASIC QUALIFICATIONS- Bachelor's degree in Computer Science, Computer Engineering, Electrical Engineering, or similar technical field.- Experience with setup, control and automation of lab equipment like spectrum analyzers, signal generators, electronic loads, power supplies, network analyzers, high-speed oscilloscopes, etc.- Experience programming with at least one modern language such as Python, Ruby, Golang, Java, C++, C#, Rust. ...

Sr. Mechanical Engineer

Working at Amazon RoboticsAre you inspired by invention? Is problem solving through collaborative teamwork in your DNA? Do you like the idea of seeing how your work impacts the bigger picture? Answer yes to any of these and you’ll fit right in here at Amazon Robotics. We are a smart, enthusiastic team of doers that work passionately to apply cutting edge advances in robotics and software to solve real-world challenges that will transform our customers’ experiences in ways we can’t even image yet. We invent new improvements every day. We are Amazon Robotics and we will give you the tools and support you need to invent with us in ways that are rewarding, fulfilling and fun. Job Overview – As an Amazon Robotics mechanical engineer, you will develop innovative hardware designs for our emerging robotic systems and improve existing hardware to optimize performance and enhance customer experience. The ideal candidate has experience designing and analyzing mechanical systems, enjoys analytical problem solving, possesses practical knowledge of high volume manufacturing methods and is a role model for engineering best practices. This position reports directly to the Mechanical Engineering Manager. Key job responsibilitiesThe Mechanical Engineer will be responsible for: - Working with an interdisciplinary team to execute product designs from concept to production including specification, design, prototyping, validation and testing - Working with the Operations, Manufacturing, Supply Chain and Quality organizations as well as vendors to ensure a smooth product launch and sustaining - Writing functional specifications, design verification plans, and functional test procedures - Exhibit role model behaviors of thorough engineering analysis and cradle to grave ownership - Design and adaptation of holistic hardware solutions for ambiguous problems with fluid requirementsA day in the lifeAmazon offers a full range of benefits that support you and eligible family members, including domestic partners and their children. Benefits can vary by location, the number of regularly scheduled hours you work, length of employment, and job status such as seasonal or temporary employment. The benefits that generally apply to regular, full-time employees include:1. Medical, Dental, and Vision Coverage2. Maternity and Parental Leave Options3. Paid Time Off (PTO)4. 401(k) PlanIf you are not sure that every qualification on the list above describes you exactly, we'd still love to hear from you! At Amazon, we value people with unique backgrounds, experiences, and skillsets. If you’re passionate about this role and want to make an impact on a global scale, please apply!BASIC QUALIFICATIONS- BS in Mechanical Engineering- SolidWorks or similar CAD experience, understanding of best practices for part design, advanced assemblies and complex configuration management- Hands on experience crafting proof-of-concept models and prototypes- Thorough understanding of principals, theories and concepts in mechanical engineering and ability to apply them to product design- Ability to effectively communicate concepts and write technical documents- Experience with full lifecycle and proficiency at completing tasks to meet milestones- Experience evaluating design, performance and logistical tradeoffs for hardware systems ...

Hardware Development Engineer II, Blink/Ring ASIC Team

Join the team which delivers highly differentiated silicon into Blink and Ring battery powered devices. Our validation team works on state-of-the art SoCs in a vertically integrated team environment to deliver products our customers love. The team works backwards from customer requirements to build super-low power, energy efficient designs that include the latest in AI, video processing, low power communications and CMOS fabrication technology.Because we are vertically integrated we directly get to see products built and ship based on our silicon.We work directly with product teams to increase the velocity of features and time to ship products.Key job responsibilities- Design and develop hardware products, including circuit boards, components, and systems, while adhering to industry standards and best practices.- Generate and maintain hardware design, testing, and quality control documentation.- Participate in design reviews, risk assessments, and product validation activities to ensure compliance with regulations.- Collaborate with cross-functional teams, including design, development and customer support, to provide feedback and input on product design, performance optimisation, and manufacturability.A day in the life- Execute comprehensive test plans, test cases, and test scripts to validate the performance, functionality, and durability of hardware products.- Conduct thorough testing and validation of hardware products to ensure compliance with specifications, requirements and quality standards.- Perform root cause analysis and troubleshooting of hardware defects and implement preventive measures for malfunctions to minimise future occurrences.- Stay up to date with the latest advancements in hardware testing methodologies and apply them to improve the overall quality of our products.BASIC QUALIFICATIONS- Bachelor’s degree in Electrical Engineering, Computer Engineering, or a related field.- 3+ years of demonstrable experience as a computer hardware engineer.- Strong knowledge of hardware design principles, testing tools, equipment, and techniques (mainly PCB designing).- Excellent analytical and problem-solving skills with an eye for detail and precision.- Ability to work independently and manage multiple tasks simultaneously. ...

Advanced Manufacturing Engineer, Robotics Technical Operations

Are you inspired by invention? Is problem solving through teamwork in your DNA? We apply advances in robotics and software to solve real-world problems that delight our customers' experiences in ways we can't even imagine yet.Amazon Robotics is located in the greater Boston area, the epicenter of robotics innovation. Our diverse team of engineers come from all over the world to design, test and build our dynamic fleet of robots and mechatronic systems. Having our offices, labs, test floors and factories all co-located means that we can design the hardware, write the code, test, build and watch it all come to life. This allows us to innovate quickly and on limitless ideas. Current advancements are underway in autonomous movement and mobility, artificial intelligence and machine learning, manipulation, simulation, robotic management software, predictive analytics, and much more.We are seeking a talented and motivated Manufacturing Engineer with a proven track record of implementing best in class processes within a complex manufacturing environment. This role will report to the Manager of Advanced Manufacturing, and is an integral member of the Technical Operations team. You will be responsible for applying design for X principles to transition a wide range of products from design to production. You will work cross-functionally to develop suppliers, manage product lifecycle changes, lead product quality improvement projects, and drive to technical root cause in supplier quality activities. The successful candidate will have experience in design or manufacturing and is capable of making wide-ranging business decisions on behalf of the organization.Key job responsibilities - Actively support and foster a culture of inclusion. - Provide design for X guidance/feedback on electromechanical designs. - Guide best practices on manufacturing tolerances using GD&T. - Launch tooling with accelerated schedules and assess project risk. - Review external production processes, identify risk and mitigate. - Qualify production processes based on dimensional data, control plans, PFMEAs. - Drive internal and external FMEA reviews. - Solve technical problems across a broad set of manufacturing commodities. - Manage supplier quality problems when necessary; drive containment and root cause activities. - Mentor team members and design engineers. - Propose design changes that will enhance product manufacturability and testability. - Evaluate and approve product electrical Engineering Changes Orders (ECOs). - Evaluate production, supplier and field failures for root cause analysis and resolution. - Up to 25% travel required (Domestic and International) without restrictions.A day in the lifeAdvanced Manufacturing Engineering works with many different internal and external organizations. We communicate frequently on technical topics with our suppliers during New Product Introductions (NPI). Internally we work with Hardware Engineering, Global Commodity Managers, Supplier Quality Engineers, various Technical Program Managers. We see ahead of curves and turns in the programs and prevent problems or risks from impacting our targets and goals.About the teamThe Advanced Manufacturing Engineering Team is made up of multiple talented individuals with various educational and practical experience backgrounds. This team has a strong desire to provide value to our stakeholders and evaluate the latest manufacturing technologies to constantly improve and innovate. Frequently (outside of COVID) we are traveling to manufacturers and evaluating prototypes as they are being made.BASIC QUALIFICATIONS- BSME/EE or equivalent required.- 6+ years of relevant work experience.- Excellent verbal and written communication skills.- Demonstrated expertise with new product development.- Familiarity with industry standard tools for problem solving and risk management.- Broad manufacturing commodity background (Mechanical and Electrical).- Deep commodity experience with one or more of the following:- DC Gearmotors, encoders- Plastics (Primarily injection molding)- Printed Circuit Board Assemblies, Sensors, Cameras- Cables ...

Senior Design Verification Engineer, Hardware Compute Group

Amazon Lab126 is an inventive research and development company that designs and engineers high-profile consumer electronics. Lab126 began in 2004 as a subsidiary of Amazon.com, Inc., originally creating the best-selling Kindle family of products. Since then, we have produced groundbreaking devices like Fire tablets, Fire TV and Amazon Echo. What will you help us create?As a Sr. Design Verification Engineer at Amazon, you will be part of an advanced engineering and research team that is building world class hardware for devices. The ideal candidate should have experience with RTL development environments, fluency in modern hardware description languages and verification methodologies. They should have experience verifying complex IP blocks from scratch that have successfully been integrated in SOCs or other such silicon that have been productized in consumer devices. We are looking for a self-driven individual who can work with architects, HW and SW developers and can quickly resolve blocking issues.Key job responsibilities- Define the verification methodology and implement the corresponding test bench infrastructure in advanced HVL to verify world class hardware- Communicate and work with team members across multiple disciplines- Deliver detailed test plans for verification of complex digital design blocks by working with design engineers and architects- Create and enhance constrained-random verification environments using SystemVerilog and UVM and write SVA.- Identify and write all types of coverage measures for stimulus and corner-cases- Debug tests with design engineers to deliver functionally correct design blocks- Close coverage measures to identify verification holes and to show progress towards tape-out- Participate in test plan and coverage reviewsBASIC QUALIFICATIONS- Bachelor’s degree or higher in EE, CE, or CS. - 7+ years of hands-on experience in Verilog, SystemVerilog, C/C++ based verification and UVM methodology- Experience developing UVM test bench, writing testplan, developing tests and verifying the design - Experience with writing directed/constrained-random tests. - Experience identifying bugs in architecture, functionality and performance with strong overall debug skills - Experience verifying at multiple levels of logic from SoCs to full system testing Experience with industry standard tools and scripting languages (Python or Perl) for automation ...

Sr. I&T Engineer, Kuiper Ground Systems

Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband connectivity to unserved and underserved communities around the world.Have you ever wanted to become involved in the global development and rollout of satellite earth stations for a high-performance satellite constellation? We are looking for a hands-on and experienced Satellite Communications ground integration and test engineer to join Project Kuiper's ground systems team. You will lead efforts to develop laboratory and field test systems, collaborate with subject matter experts to develop highly efficient integration and test processes, and become a subject matter expert on Kuiper Gateway and Tracking, Telemetry, and Control (TT&C) terminals. Kuiper ground gateway and TT&C terminals enable our low-latency, high-speed broadband satellite communication constellation allowing Amazon to serve un-served and under-served communities around the world.Key job responsibilitiesIn this role you will:- Become a key member of the Ground Systems development and implementation team.- Lead development, integration, and ongoing operations of ground systems antenna and terminal test systems, both laboratory and fielded.- Work closely with implementation teams to troubleshoot issues, perform data driven analysis of results, and make recommendations for improvements and corrective actions.- Support the Ground Systems team and suppliers in execution of product qualification activities.- Engage with suppliers to evaluate their test and validation results versus expected product performance and provide proactive feedback on potential improvements.- Develop optimized field integration and operational readiness test programs that enable project Kuiper’s innovative Ground System.- Communicate regularly on status, risk, and change control.- Help teams reduce exposure to classic failure modes.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.A day in the lifeAs a Integration and Test Engineer, you will provide leadership supporting execution of Kuiper’s ground integration and test activities. You will become a subject matter expert on the Kuiper ground system design and will be called upon frequently as such to support critical troubleshooting activities. You will help develop and will work extensively with the Gateway and TT&C terminal test systems to validate supplier product updates and troubleshoot system issues. About the teamThe Ground Systems team is responsible for the architecture, design, build, and installation of Kuiper’s Ground and TT&C Gateways. Our Ground Gateways enable high data rate, low latency communications between Kuiper points of presence and our Low Earth Orbit Satellite constellation. The team works closely with Ground Infrastructure Services, Networks, Regulatory, Payload Test, and RF Systems teams to ensure Kuiper provides a seamless customer experience.BASIC QUALIFICATIONS- 4+ years in integration and test and/or product verification and validation roles- 2+ years using RF and Network test equipment associated with SATCOM communications- Bachelor's degree in Engineering or equivalent experience-education ...

Physical Design Engineer, Annapurna Labs

AWS Utility Computing (UC) provides product innovations that continue to set AWS’s services and features apart in the industry. As a member of the UC organization, you’ll support the development and management of Compute, Database, Storage, Platform, and Productivity Apps services in AWS, including support for customers who require specialized security solutions for their cloud services. Additionally, this role may involve exposure to and experience with Amazon's growing suite of generative AI services and other cutting-edge cloud computing offerings across the AWS portfolio.Annapurna Labs (our organization within AWS UC) designs silicon and software that accelerates innovation. Customers choose us to create cloud solutions that solve challenges that were unimaginable a short time ago—even yesterday. Our custom chips, accelerators, and software stacks enable us to take on technical challenges that have never been seen before, and deliver results that help our customers change the world.Amazon Web Services provides a highly reliable, scalable, low-cost infrastructure platform in the cloud that powers hundreds of thousands of businesses in 190 countries around the world. We have data center locations in the U.S., Europe, Singapore, and Japan, and customers across all industries.Custom SoCs (System on Chip) live at the heart of AWS Machine Learning servers. As a member of the Cloud-Scale Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers including AWS Inferentia, Trainium Systems (our custom designed machine learning inference and training datacenter servers). Our success depends on our world-class server infrastructure; we’re handling massive scale and rapid integration of emergent technologies. We’re looking for an ASIC Physical Design Engineer to help us trail-blaze new technologies and architectures, while ensuring high design quality and making the right trade-offs.Key job responsibilities- Work with RTL/logic designers to drive architectural feasibility studies, explore power-performance-area tradeoffs for physical design closure- Drive IO/Core block physical implementation through synthesis, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, ECO and sign-off- Develop physical design methodologies- Evaluate 3rd party IP and provide recommendations- Be a highly-valued member of our start-up like team through excellent collaboration and teamwork with other physical design engineers as well as with the RTL/Arch. teamsA day in the lifeAbout the teamOur team is dedicated to supporting new members. We have a broad mix of experience levels and tenures, and we’re building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind, code reviews. We care about your career growth and strive to assign projects that help our team members develop your engineering expertise so you feel empowered to take on more complex tasks in the future.Diverse ExperiencesAWS values diverse experiences. Even if you do not meet all of the qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying. About AWSAmazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.Inclusive Team CultureHere at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.Work/Life BalanceWe value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud. Mentorship & Career GrowthWe’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional. BASIC QUALIFICATIONS- BS + 4yrs or MS + 3yrs in EE/CS- 4+ years of experience in ASIC Physical Design from - RTL-to-GDSII in either 7nm, 14/16nm, 20nm, or 28nm- Block Design using EDA tools (examples: Cadence, Mentor Graphics, Synopsys, or Others) including synthesis, equivalency verification, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, and ECO- Deep understanding on sign-off activities (timing, ir/em, physical verification)- Scripting experience with Tcl, Perl or Python ...

Sr. Physical Design Engineer, Annapurna Labs

Amazon Web Services provides a highly reliable, scalable, low-cost infrastructure platform in the cloud that powers hundreds of thousands of businesses in 190 countries around the world. We have data center locations in the U.S., Europe, Singapore, and Japan, and customers across all industries.Custom SoCs (System on Chip) live at the heart of AWS Machine Learning servers. As a member of the Cloud-Scale Machine Learning Acceleration team you’ll be responsible for the design and optimization of hardware in our data centers including AWS Inferentia, Trainium Systems (our custom designed machine learning inference and training datacenter servers). Our success depends on our world-class server infrastructure; we’re handling massive scale and rapid integration of emergent technologies. We’re looking for an ASIC Physical Design Engineer to help us trail-blaze new technologies and architectures, while ensuring high design quality and making the right trade-offs.Key job responsibilities- Work with RTL/logic designers to drive architectural feasibility studies, explore power-performance-area tradeoffs for physical design closure- Drive IO/Core block physical implementation through synthesis, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, ECO and sign-off- Develop physical design methodologies- Evaluate 3rd party IP and provide recommendations- Be a highly-valued member of our start-up like team through excellent collaboration and teamwork with other physical design engineers as well as with the RTL/Arch. teamsAbout the teamInclusive Team CultureHere at AWS, we embrace our differences. We are committed to furthering our culture of inclusion. We have ten employee-led affinity groups, reaching 40,000 employees in over 190 chapters globally. We have innovative benefit offerings, and host annual and ongoing learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences. Amazon’s culture of inclusion is reinforced within our 16 Leadership Principles, which remind team members to seek diverse perspectives, learn and be curious, and earn trust.BASIC QUALIFICATIONS- BS + 8yrs or MS + 6yrs in EE/CS- 6+ years in ASIC Physical Design from - RTL-to-GDSII in either 7nm, 14/16nm, 20nm, or 28nm- Block Design using EDA tools (examples: Cadence, Mentor Graphics, Synopsys, or Others) including synthesis, equivalency verification, floor planning, bus / pin planning, place and route, power/clock distribution, congestion analysis, timing closure, IR drop analysis, physical verification, and ECO- Deep understanding on sign-off activities (timing, ir/em, physical verification)- Scripting experience with Tcl, Perl or Python ...

Amazon Robotics - Hardware Engineer Co-op - Spring 2025

Are you inspired by invention? Is problem solving through teamwork in your DNA? Do you like the idea of seeing how your work impacts the bigger picture? Answer yes to these questions and you'll fit right in here at Amazon Robotics. We are a smart team of doers who work passionately to apply cutting edge advances in robotics and software to solve real-world challenges that will transform our customers experiences in ways we can't even image yet. We invent new improvements every day.Amazon Robotics empowers a smarter, faster, more consistent customer experience through automation. Amazon Robotics automates fulfilment center operations using various methods of robotic technology including autonomous mobile robots, sophisticated control software, language perception, power management, computer vision, depth sensing, machine learning, object recognition, and semantic understanding of commands. Amazon Robotics has a dedicated focus on research and development to continuously explore new opportunities to extend its product lines into new areas.Amazon Robotics is seeking a talented and motivated Mechanical Engineering student to join our Hardware Development Engineering team for a Co-op assignment. The candidate will have the opportunity work with senior engineering staff on existing and new and existing tools, mechanisms, modules and systems. The ideal candidate has strong mechanical aptitude, enjoys problem solving and can potentially handle multiple parallel tasks. This position reports to a Hardware Development Engineer on the Amazon Robotics team. This role is a 6 month co-op to join AR full time (40 hours/week) from January to June 2025. Amazon Robotics co-op opportunities will be based in the Greater Boston Area, in our two state-of-the-art facilities in Westborough and North Reading, MA. Both campuses provide a unique opportunity for interns to have direct access to robotics testing labs and manufacturing facilities.Co-op Responsibilities:· Work as part of an interdisciplinary team to design and analyze mechanisms, modules or systems· Model and detail solutions in hand sketches and SolidWorks· Analyze components and systems using standard tools including SolidWorks Simulation (FEA) as well as hand calculations· Participate in brainstorming sessions· Build models and prototypes· Conduct testing· Support staff writing ECO's, specifications and plans· Create GD&TA day in the lifeIf you are not sure that every qualification on the list above describes you exactly, we'd still love to hear from you! At Amazon, we value people with unique backgrounds, experiences, and skillsets. If you’re passionate about this role and want to make an impact on a global scale, please apply!BASIC QUALIFICATIONS- Must be currently enrolled in a Bachelor's degree or Master's degree in Mechanical Engineering, Industrial Engineering, or a similar degree- Must have at least one semester/term/quarter of school left to complete after the end of the co-op- Must be eligible and available for a full-time (40h / week) 6-month co-op between January - June 2025- Graduation is December 2025 or later ...

Senior PCBA Manufacturing Test Engineer, Project Kuiper

Have you ever wanted to be part of a team who is building industry changing technology? Amazon’s Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that will provide low-latency, high-speed broadband network connectivity to unserved and underserved communities around the world.Key job responsibilitiesAs a Sr. PCBA Manufacturing Test Engineer, you will be responsible for deployment, qualification, continuous improvement of test systems, processes, and procedures at CM (Contract Manufacturing) sites for Satellite PCBAs. You will be a key member of PCBA Manufacturing team and will be the main interface between Kuiper’s internal test development teams and our manufacturing partners. You will ensure successful test deployment by documenting procedures, training CM personnel, and providing ongoing support. You will have excellent debugging skill with the ability to dive deep and determine the root-cause of a test failure.Key job responsibilities• Develop test strategies, implement and optimize test setup/processes at Contract Manufacturing sites including identification, specification, design and qualification of test fixtures, test equipment, and maintenance programs.• Work with the R&D and Test Development teams to continuously improve test systems, software, fixtures, and procedures to improve test effectiveness and throughput.• Analyze data to identify failure trends and provide recommendations PCBA, process, and test system improvement.• Develop procedures and documentation for use by test operators.• Train operators on test processes and support recurring certification programs.• Perform PCBA debug and root-cause failure analysis at Contract Manufacturing sites. Create runbooks and provide training for technicians to perform PCBA debug.• Provide feedback to the Test Development team on test coverage, fault isolation capability, PCBA failure trends, and DFT (Design for Test) recommendations.• Run small teams to accomplish short-term objectives and implement strategic initiatives.Export Control Requirements: Due to applicable export control laws and regulations, candidates must be a U.S. citizen or national, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into the U.S. as a refugee or granted asylum.A day in the lifeCome solve the difficult and rewarding engineering challenges in developing a highly reliable, power efficient, high performance, low-cost satellite system. As a Sr. PCBA Manufacturing Test Engineer, you have the ability to dive deep on the details to identify, understand and solve difficult technical problems and also utilize a system level approach to prioritize issues for the whole program. You thrive in a hands-on environment and will spend time in the office, on the factory floor, at manufacturing partners, and in the lab to execute the Project Kuiper mission.About the teamThe PCBA Manufacturing Test Engineering team delivers and deploys test systems for all Kuiper satellite PCBAs. This is an inclusive, inter-disciplinary team that is committed to performance, quality, & reliability. Your breadth of exposure will be immense as you collaborate with other core groups, helping to guide the test systems from design to production and operation.Here at Amazon, we embrace our differences. We are committed to furthering our culture of inclusion. Amazon has ten employee-led affinity groups, reaching 40,000 employees in over 190 chapters globally. We have innovative benefit offerings, and host annual and ongoing learning experiences. Amazon’s culture of inclusion is reinforced within our 16 Leadership Principles, which remind team members to seek diverse perspectives, learn and be curious, and earn trust.BASIC QUALIFICATIONS- Bachelor’s Degree in Electrical Engineering Electrical Engineering Technology or related field- 8+ years of experience deploying, using, and training others on the use of complex test systems including RF functional tests, high power electrical tests, ICT/bed-of-nails fixtures, and Flying Probe test.- 8+ years of experience integrating software and hardware systems.- 8+ years of experience in the use of standard electronic lab test equipment (i.e., oscilloscopes, multimeters, etc.) to debug complex PCBAs.- Ability to write detailed test station operating procedures- Ability to create deployment/capacity plans for multiple testers at multiple CMs- Experience with Linux/scripting ...

2025 Specialized Internship - ASIC Engineer, Amazon Next-Gen Technologies

Are you passionate about cutting-edge technology and eager to shape the future of hardware innovation?We are looking for interns across a variety of domain expertise, such as RF/analog IC design, mixed-signal modeling, custom IC layout, ASIC development, PCB design, signal processing, high-speed digital, space-grade electronics, optical communications, antenna design, thermodynamics, robotics, and acoustics!Including but not limited to the following teams:• Project Kuiper (Avionics, RF Systems, Ground Systems, Satellite Battery Design)• Quantum Computing (Qubit Optimization)• Consumer Robotics (Advanced Products and Technologies)• Amazon Devices (Kindle, Echo, Fire TV)• Ring and Blink Product Integrity• Mechatronics and Sustainable Packaging (MSP)• Display Optics and Touch Team• Kuiper Space Simulation Lab• KGS ElectronicsWe encourage all interested candidates to apply, even if you don't meet all the preferred qualifications. We value diverse perspectives and are committed to helping you grow and develop your skills in ASIC development.Key job responsibilitiesAs a specialized Hardware Development Engineer, you will engage with cross-disciplinary teams across Amazon's cutting-edge technology divisions. You will work closely with internal teams and external partners to drive product definition, execution, and testing for next-generation hardware. You must be responsive, flexible, and able to thrive in an open, collaborative environment. Depending on the team you join, you may also:-- Design and implement RF/analog circuits for satellite and user terminal ASICs--Develop high-fidelity analog behavioral models for beamforming transceivers--Optimize electromagnetic structures using EMX for improved performance--Create and maintain automated test infrastructure for system verification--Develop scripts for data processing and analysis of RF system performance--Implement cross-correlation algorithms for system and component-level data--Contribute to the design and verification of mixed-signal ICs for space applicationsA day in the lifeOur internship program provides hands-on learning and building experiences for students who are interested in a career in ASIC. In addition to working on an impactful project, you will have the opportunity to engage with Amazonians for both personal and professional development, expand your network, and participate in fun activities with other interns throughout the summer. No matter the location of your internship, we give you the tools to own your summer and learn in a real-world setting.BASIC QUALIFICATIONS- Work 40 hours/week minimum and commit to 12 week internship maximum- Currently pursuing a Bachelor's degree or higher in Electrical Engineering, Computer Engineering, or related field with an expected graduation between October 2025 – December 2027- Knowledge of software engineering practices and best practices for the full software development life cycle, including coding standards, code reviews, source control management, build processes, testing, and operations- Experience with Git or other distributed version control systems- Knowledge of data analysis and machine learning fundamentals- Programming skills in at least one language (e.g., Python, C++) ...

Sr. Manager, Mechanical Thermal Engineering, Hardware Engineering Services

AWS Infrastructure Services owns the design, planning, delivery, and operation of all AWS global infrastructure. In other words, we’re the people who keep the cloud running. We support all AWS data centers and all of the servers, storage, networking, power, and cooling equipment that ensure our customers have continual access to the innovation they rely on. We work on the most challenging problems, with thousands of variables impacting the supply chain — and we’re looking for talented people who want to help. You’ll join a diverse team of software, hardware, and network engineers, supply chain specialists, security experts, operations managers, and other vital roles. You’ll collaborate with people across AWS to help us deliver the highest standards for safety and security while providing seemingly infinite capacity at the lowest possible cost for our customers. And you’ll experience an inclusive culture that welcomes bold ideas and empowers you to own them to completion.We are seeking a senior leader in Hardware Development to lead a group that is defining and executing the Mechanical and Thermal vision across generations of compute and storage platforms for our cloud. You will own the strategy and oversee the development and management of the product roadmaps based on it.This is a fast-paced, intellectually challenging position for a highly experienced professional. You’ll work with thought leaders in multiple technology areas. You will partner with our AWS services owners (EC2, EBS, S3, etc.) to inform their architectures and product offerings, and drive adoption of innovative technologies. You will influence suppliers’ roadmaps and partner with them to launch custom products.You must have relentlessly high standards for yourself and everyone you work with, and you must be constantly looking for ways to improve your products’ performance, quality, and cost. Attention to details, creativity, depth of industry knowledge, and a well-trained business sense are a must. We’re continuously changing the industry we are in. We want a leader who is ready for this challenge, and wants to reach beyond what is possible today.The successful candidate must have experience building and leading Hardware Development team(s) in a fast-paced environment. The candidate must be highly technical, analytical, effective in a matrix organization, and adept at synthesizing a variety of technologies and capabilities.AWS Infrastructure Services owns the design, planning, delivery, and operation of all AWS global infrastructure. In other words, we’re the people who keep the cloud running. We support all AWS data centers and all of the servers, storage, networking, power, and cooling equipment that ensure our customers have continual access to the innovation they rely on. We work on the most challenging problems, with thousands of variables impacting the supply chain — and we’re looking for talented people who want to help. You’ll join a diverse team of software, hardware, and network engineers, supply chain specialists, security experts, operations managers, and other vital roles. You’ll collaborate with people across AWS to help us deliver the highest standards for safety and security while providing seemingly infinite capacity at the lowest possible cost for our customers. And you’ll experience an inclusive culture that welcomes bold ideas and empowers you to own them to completion.Key job responsibilities* Drive the vision and execution of the mechanical hardware product roadmap* Build hardware to solve problems and increase efficiency at the data center* Prioritize and plan the execution of the technical thermal mechanical roadmap* Hire and develop a team of world-class hardware developers, providing guidance and direction to achieve the product vision* Make business decisions around build vs. buy, cost vs. cycle time, performance vs. cost, power vs. performance etc. to optimize our portfolio of products across our cloudA day in the lifeA day in the life of the Sr. Manager Mechanical Thermal is a combination of roadmap focussed discussions, design reviews, goal tracking, and team building. About the teamThe Mechanical, Thermal, and Robotics team owns all server and rack thermal and mechanical designs in the AWS data centers.*Why AWS*Amazon Web Services (AWS) is the world’s most comprehensive and broadly adopted cloud platform. We pioneered cloud computing and never stopped innovating — that’s why customers from the most successful startups to Global 500 companies trust our robust suite of products and services to power their businesses.*Diverse Experiences*Amazon values diverse experiences. Even if you do not meet all of the preferred qualifications and skills listed in the job description, we encourage candidates to apply. If your career is just starting, hasn’t followed a traditional path, or includes alternative experiences, don’t let it stop you from applying.*Work/Life Balance*We value work-life harmony. Achieving success at work should never come at the expense of sacrifices at home, which is why we strive for flexibility as part of our working culture. When we feel supported in the workplace and at home, there’s nothing we can’t achieve in the cloud.*Inclusive Team Culture*Here at AWS, it’s in our nature to learn and be curious. Our employee-led affinity groups foster a culture of inclusion that empower us to be proud of our differences. Ongoing events and learning experiences, including our Conversations on Race and Ethnicity (CORE) and AmazeCon (gender diversity) conferences, inspire us to never stop embracing our uniqueness.*Mentorship and Career Growth*We’re continuously raising our performance bar as we strive to become Earth’s Best Employer. That’s why you’ll find endless knowledge-sharing, mentorship and other career-advancing resources here to help you develop into a better-rounded professional.BASIC QUALIFICATIONS* Bachelor’s degree or higher in Mechanical Engineering, or equivalent* 10+ years experience in a technical leadership role* Experience in designing high volume electronic enclosures: sheet metal, mechanical hardware, and thermal mitigations* Experience developing new technology in the thermal mechanical space* Proven track record of taking ownership and successfully delivering results in a fast-paced, dynamic environment ...

ASIC Verification Engineer, Blink/Ring ASIC Team

Join the team which delivers highly differentiated silicon into Blink and Ring battery powered devices. Our verification team works on state-of-the art SoCs in a vertically integrated team environment to deliver products our customers love. Our verification team is involved in early architectural and micro-architectural trade-offs to reduce time-to-revenue by shortening the DV cycle. Innovators will be delighted with our integrated verification/validation environment that is used to perform architectural modeling to post-silicon validation. The team works backwards from customer requirements to build super-low power, energy efficient designs that include the latest in AI, video processing, low power communications and CMOS fabrication technology. Key job responsibilities- Use and/or build bit accurate C models- Evaluate block and sub-systems for testability/verifiability - Write comprehensive block and system level testplans- Build assertions, traffic generators and scoreboards- Execute testplans and perform rigorous debugBASIC QUALIFICATIONS- Bachelor's degree in Electrical / Computer Engineering or related field- 6+ years experience in digital verification, preferably in image processor, SoC/Interfaces- 3+ years of experience in C/C++ and scripting (Python or TCL)- 6+ years experience in System Verilog or UVM ...

Payload Integration & Test Engineer, Project Kuiper

Project Kuiper is an initiative to launch a constellation of Low Earth Orbit satellites that willprovide low-latency, high-speed broadband connectivity to unserved and underservedcommunities around the world. Come work at Amazon!As a Payload I&T Engineer, you will be responsible for integration and test activities for various payload related features for the Kuiper satellite , ground gateway and the customer terminals.You will work closely with colleagues throughout Project Kuiper’s wide-ranging, inter-disciplinary team to support both lab payload testing and troubleshooting and reproducing on-orbit issues in the lab. This will include test definition, execution, optimization and reporting of test data. You must be flexible, responsive, and able to succeed within a fast-paced and collaborative environment.As a senior member of the Payload Integration and Test team, you will be responsible for verification and validation of the satellite payload including the ground gateway and customer terminals. This includes everything from integrating new features in lab with test equipment to getting features working end to end including ground service with the expected performance.Responsibilities: - Conceptualize and execute a test campaign with functionality and performance. Engage with systems, hardware, and software development engineering teams to accomplish the following in pursuit of integration and testing: capture requirements, define use cases, work backwards from product strategy.- End to end functional and performance testing to include ground equipment, satellite, customer terminals and cloud services. - Expand test coverage in lab by integrating with test equipment and collaborating closely with test equipment vendors.- Troubleshoot deeply: anticipate failures, collect data, analyze log files, compare models to observed behavior, root cause.- Troubleshoot and root cause MAC to application layer throughput degradation and packet losses across the system.- Support and reproduce on orbit functional and performance issues in the lab.- Draft and maintain high quality documentation for program-level feedback: test verification matrix, status tracking, test runs for record.- Enhance testing efficiency by automating test execution and analysis.Export Control Requirement:Due to applicable export control laws and regulations, candidates must be a U.S. citizen ornational, U.S. permanent resident (i.e., current Green Card holder), or lawfully admitted into theU.S. as a refugee or granted asylum. BASIC QUALIFICATIONS- Bachelor's degree in electrical engineering, computer engineering, computer science or equivalent experience.- 7+ years of satellite and/or cellular lab testing experience.- Experience with Linux, basic networking skills, and RF lab equipment configuration.- Experience with test development in Python or similar language. ...